[Pkg-electronics-commits] [verilator] branch master updated (a3051e0 -> b8ab0b7)

أحمد المحمودي (Ahmed El-Mahmoudy) aelmahmoudy at sabily.org
Sun Apr 26 18:44:06 UTC 2015


This is an automated email from the git hooks/post-receive script.

aelmahmoudy-guest pushed a change to branch master
in repository verilator.

      from  a3051e0   Release 3.864-1
      adds  6a21cfa   Added debian/gbp.conf to set debian branch to experimental
      adds  4e4068d   Imported Upstream version 3.866
      adds  28e12c4   Merge tag 'upstream/3.866' into experimental
      adds  e8a809b   Update my email address
      adds  48c77bd   Release 3.866-1
      adds  29747ce   Imported Upstream version 3.868
      adds  09cd5de   Merge tag 'upstream/3.868' into experimental
      adds  ab7e239   Release 3.868-1
      adds  41606b7   Imported Upstream version 3.870
      adds  06ac9ec   Merge tag 'upstream/3.870' into experimental
      adds  9455b28   Refresh patch
      adds  cb1fb5a   Release 3.870-1
      adds  9956289   Imported Upstream version 3.872
      adds  098cfd2   Merge tag 'upstream/3.872' into experimental
      adds  4162a88   debian/patches/shebang.diff: patch verilator_coverage
      adds  719f7cc   Install all manuals
      adds  fe416bc   Update copyright format & years
      adds  5012aa3   Added typos.diff patch to fix typos
      adds  261b850   Release 3.872-1
       new  7a0d166   Added DEP-3 patch headers
       new  b8ab0b7   Release 3.872-2

The 2 revisions listed above as "new" are entirely new to this
repository and will be described in separate emails.  The revisions
listed as "adds" were already present in the repository and have only
been added to this reference.


Summary of changes:
 .gitignore                                         |   1 +
 Changes                                            |  98 +++-
 MANIFEST.SKIP                                      |   1 +
 Makefile.in                                        |  54 ++-
 README                                             |  19 +-
 README.html                                        |  19 +-
 README.pdf                                         | Bin 112602 -> 108840 bytes
 readme.pod => README.pod                           |  20 +-
 TODO                                               |  19 +-
 bin/verilator                                      | 294 +++++++-----
 bin/verilator_coverage                             | 291 ++++++++++++
 bin/verilator_difftree                             |   2 +-
 bin/verilator_includer                             |   8 +-
 bin/verilator_profcfunc                            |   2 +-
 configure                                          | 312 ++++++++++++-
 configure.ac                                       |  47 +-
 debian/changelog                                   |  43 ++
 debian/control                                     |   2 +-
 debian/copyright                                   |   4 +-
 debian/links                                       |   1 +
 debian/manpages                                    |   1 -
 debian/patches/install_all_manpages.diff           |  36 ++
 debian/patches/series                              |   2 +
 debian/patches/shebang.diff                        |  16 +-
 debian/patches/typos.diff                          |  15 +
 debian/verilator_profcfunc.1                       |  39 --
 doxygen.config                                     |   2 -
 include/verilated.cpp                              | 125 +++--
 include/verilated.h                                |  10 +-
 include/verilated.mk.in                            |  10 +-
 include/verilated.v                                |   2 +-
 include/verilated_config.h                         |   4 +-
 include/verilated_config.h.in                      |   2 +-
 include/verilated_cov.cpp                          | 452 ++++++++++++++++++
 include/verilated_cov.h                            | 144 ++++++
 include/verilated_cov_key.h                        | 145 ++++++
 include/verilated_dpi.cpp                          |   4 +-
 include/verilated_dpi.h                            |   2 +-
 include/verilated_heavy.h                          |  16 +-
 include/verilated_imp.h                            |   2 +-
 include/verilated_save.cpp                         |   4 +-
 include/verilated_save.h                           |   4 +-
 include/verilated_sc.h                             |   2 +-
 include/verilated_syms.h                           |   2 +-
 include/verilated_vcd_c.cpp                        |  79 +++-
 include/verilated_vcd_c.h                          |  52 ++-
 include/verilated_vcd_sc.cpp                       |   6 +-
 include/verilated_vcd_sc.h                         |   6 +-
 include/verilated_vpi.cpp                          |   2 +-
 include/verilated_vpi.h                            |   3 +-
 include/verilatedos.h                              |  10 +-
 include/vltstd/svdpi.h                             |   4 +-
 internals.html                                     |  25 +-
 internals.pdf                                      | Bin 197894 -> 196069 bytes
 internals.pod                                      |  28 +-
 internals.txt                                      |  27 +-
 src/.gdbinit                                       |   2 +-
 src/Makefile.in                                    |  10 +-
 src/Makefile_obj.in                                |  46 +-
 src/V3Active.cpp                                   |  19 +-
 src/V3Active.h                                     |   2 +-
 src/V3ActiveTop.cpp                                |   3 +-
 src/V3ActiveTop.h                                  |   2 +-
 src/V3Assert.cpp                                   |  40 +-
 src/V3Assert.h                                     |   2 +-
 src/V3AssertPre.cpp                                |  18 +-
 src/V3AssertPre.h                                  |   2 +-
 src/V3Ast.cpp                                      |  52 +--
 src/V3Ast.h                                        |  79 +++-
 src/V3AstConstOnly.h                               |   2 +-
 src/V3AstNodes.cpp                                 |  40 +-
 src/V3AstNodes.h                                   | 311 +++++++++----
 src/V3Begin.cpp                                    |   3 +-
 src/V3Begin.h                                      |   2 +-
 src/V3Branch.cpp                                   |  47 +-
 src/V3Branch.h                                     |   2 +-
 src/V3Broken.cpp                                   |   2 +-
 src/V3Broken.h                                     |   2 +-
 src/V3Case.cpp                                     |   5 +-
 src/V3Case.h                                       |   2 +-
 src/V3Cast.cpp                                     |   4 +-
 src/V3Cast.h                                       |   2 +-
 src/V3Cdc.cpp                                      |   2 +-
 src/V3Cdc.h                                        |   2 +-
 src/V3Changed.cpp                                  | 214 ++++++---
 src/V3Changed.h                                    |   2 +-
 src/V3Clean.cpp                                    |   7 +-
 src/V3Clean.h                                      |   2 +-
 src/V3ClkGater.cpp                                 |   3 +-
 src/V3ClkGater.h                                   |   2 +-
 src/V3Clock.cpp                                    |  15 +-
 src/V3Clock.h                                      |   2 +-
 src/V3Combine.cpp                                  |   3 +-
 src/V3Combine.h                                    |   2 +-
 src/V3Config.cpp                                   |   2 +-
 src/V3Config.h                                     |   3 +-
 src/V3Const.cpp                                    | 164 ++++++-
 src/V3Const.h                                      |   2 +-
 src/V3Coverage.cpp                                 |   3 +-
 src/V3Coverage.h                                   |   2 +-
 src/V3CoverageJoin.cpp                             |   3 +-
 src/V3CoverageJoin.h                               |   2 +-
 src/V3Dead.cpp                                     |  21 +-
 src/V3Dead.h                                       |   2 +-
 src/V3Delayed.cpp                                  |   3 +-
 src/V3Delayed.h                                    |   2 +-
 src/V3Depth.cpp                                    |   3 +-
 src/V3Depth.h                                      |   2 +-
 src/V3DepthBlock.cpp                               |   3 +-
 src/V3DepthBlock.h                                 |   2 +-
 src/V3Descope.cpp                                  |   3 +-
 src/V3Descope.h                                    |   2 +-
 src/V3EmitC.cpp                                    |  99 ++--
 src/V3EmitC.h                                      |   2 +-
 src/V3EmitCBase.h                                  |   2 +-
 src/V3EmitCInlines.cpp                             |   2 +-
 src/V3EmitCSyms.cpp                                |   3 +-
 src/V3EmitMk.cpp                                   |  17 +-
 src/V3EmitMk.h                                     |   2 +-
 src/V3EmitV.cpp                                    |   8 +-
 src/V3EmitV.h                                      |   2 +-
 src/V3EmitXml.cpp                                  |   4 +-
 src/V3EmitXml.h                                    |   2 +-
 src/V3Error.cpp                                    | 286 +-----------
 src/V3Error.h                                      | 161 +------
 src/V3Expand.cpp                                   |  14 +-
 src/V3Expand.h                                     |   2 +-
 src/V3File.cpp                                     |  15 +-
 src/V3File.h                                       |   3 +-
 src/{V3Error.cpp => V3FileLine.cpp}                | 217 +--------
 src/V3FileLine.h                                   | 171 +++++++
 src/V3Gate.cpp                                     | 229 ++++++++--
 src/V3Gate.h                                       |   2 +-
 src/V3GenClk.cpp                                   |   3 +-
 src/V3GenClk.h                                     |   2 +-
 src/V3Global.h                                     |   3 +-
 src/V3Graph.cpp                                    |   2 +-
 src/V3Graph.h                                      |   2 +-
 src/V3GraphAcyc.cpp                                |   6 +-
 src/V3GraphAlg.cpp                                 |   2 +-
 src/V3GraphAlg.h                                   |   2 +-
 src/V3GraphDfa.cpp                                 |   4 +-
 src/V3GraphDfa.h                                   |   2 +-
 src/V3GraphTest.cpp                                |   2 +-
 src/V3Hashed.cpp                                   |   2 +-
 src/V3Hashed.h                                     |   2 +-
 src/V3Inline.cpp                                   |   4 +-
 src/V3Inline.h                                     |   2 +-
 src/V3Inst.cpp                                     |   4 +-
 src/V3Inst.h                                       |   2 +-
 src/V3LangCode.h                                   |   2 +-
 src/V3LanguageWords.h                              |   2 +-
 src/V3Life.cpp                                     |   7 +-
 src/V3Life.h                                       |   2 +-
 src/V3LifePost.cpp                                 |   3 +-
 src/V3LifePost.h                                   |   2 +-
 src/V3LinkCells.cpp                                |   2 +-
 src/V3LinkCells.h                                  |   2 +-
 src/V3LinkDot.cpp                                  |  21 +-
 src/V3LinkDot.h                                    |  18 +-
 src/V3LinkJump.cpp                                 |   3 +-
 src/V3LinkJump.h                                   |   2 +-
 src/V3LinkLValue.cpp                               |   3 +-
 src/V3LinkLValue.h                                 |   2 +-
 src/V3LinkLevel.cpp                                |  10 +-
 src/V3LinkLevel.h                                  |   2 +-
 src/V3LinkParse.cpp                                |  34 +-
 src/V3LinkParse.h                                  |   2 +-
 src/V3LinkResolve.cpp                              |   3 +-
 src/V3LinkResolve.h                                |   2 +-
 src/V3List.h                                       |   2 +-
 src/V3Localize.cpp                                 |   3 +-
 src/V3Localize.h                                   |   2 +-
 src/V3Name.cpp                                     |   3 +-
 src/V3Name.h                                       |   2 +-
 src/V3Number.cpp                                   |  71 ++-
 src/V3Number.h                                     |  29 +-
 src/V3Number_test.cpp                              |   3 +-
 src/V3Options.cpp                                  | 316 ++++++-------
 src/V3Options.h                                    |  37 +-
 src/V3Order.cpp                                    | 214 ++++++++-
 src/V3Order.h                                      |   2 +-
 src/V3OrderGraph.h                                 |   2 +-
 src/V3Os.cpp                                       | 169 +++++++
 src/V3Os.h                                         |  51 +++
 src/V3Param.cpp                                    |   4 +-
 src/V3Param.h                                      |   2 +-
 src/V3Parse.h                                      |   2 +-
 src/V3ParseGrammar.cpp                             |   2 +-
 src/V3ParseImp.cpp                                 |   7 +-
 src/V3ParseImp.h                                   |   7 +-
 src/V3ParseLex.cpp                                 |  11 +-
 src/V3ParseSym.h                                   |   3 +-
 src/V3PreLex.h                                     |  11 +-
 src/V3PreLex.l                                     |  77 +---
 src/V3PreProc.cpp                                  |  16 +-
 src/V3PreProc.h                                    |   6 +-
 src/V3PreShell.cpp                                 |   2 +-
 src/V3PreShell.h                                   |   3 +-
 src/V3Premit.cpp                                   |  31 +-
 src/V3Premit.h                                     |   2 +-
 src/V3Scope.cpp                                    |  14 +-
 src/V3Scope.h                                      |   2 +-
 src/V3SenTree.h                                    |   2 +-
 src/V3Simulate.h                                   |   3 +-
 src/V3Slice.cpp                                    |   3 +-
 src/V3Slice.h                                      |   2 +-
 src/V3Split.cpp                                    |   6 +-
 src/V3Split.h                                      |   2 +-
 src/V3SplitAs.cpp                                  |   3 +-
 src/V3SplitAs.h                                    |   2 +-
 src/V3Stats.cpp                                    |  30 +-
 src/V3Stats.h                                      |   5 +-
 src/V3StatsReport.cpp                              |   2 +-
 src/V3String.cpp                                   |   2 +-
 src/V3String.h                                     |   2 +-
 src/V3Subst.cpp                                    |  14 +-
 src/V3Subst.h                                      |   2 +-
 src/V3SymTable.h                                   |   2 +-
 src/V3Table.cpp                                    |   5 +-
 src/V3Table.h                                      |   2 +-
 src/V3Task.cpp                                     |   7 +-
 src/V3Task.h                                       |   2 +-
 src/V3Trace.cpp                                    |   3 +-
 src/V3Trace.h                                      |   2 +-
 src/V3TraceDecl.cpp                                |  17 +-
 src/V3TraceDecl.h                                  |   2 +-
 src/V3Tristate.cpp                                 |   6 +-
 src/V3Tristate.h                                   |   2 +-
 src/V3Undriven.cpp                                 |   2 +-
 src/V3Undriven.h                                   |   2 +-
 src/V3Unknown.cpp                                  |  23 +-
 src/V3Unknown.h                                    |   2 +-
 src/V3Unroll.cpp                                   |  43 +-
 src/V3Unroll.h                                     |   2 +-
 src/V3Width.cpp                                    | 503 +++++++++++++++++----
 src/V3Width.h                                      |   2 +-
 src/V3WidthCommit.h                                |   7 +-
 src/V3WidthSel.cpp                                 |   2 +-
 src/Verilator.cpp                                  |  92 +---
 src/VlcBucket.h                                    | 133 ++++++
 src/VlcMain.cpp                                    | 192 ++++++++
 src/VlcOptions.h                                   |  84 ++++
 src/VlcPoint.h                                     | 152 +++++++
 src/VlcSource.h                                    | 145 ++++++
 src/VlcTest.h                                      | 137 ++++++
 src/VlcTop.cpp                                     | 263 +++++++++++
 src/VlcTop.h                                       |  70 +++
 src/astgen                                         |  10 +-
 src/bisonpre                                       |   2 +-
 src/config_build.h                                 |   6 +-
 src/config_build.h.in                              |   4 +-
 src/config_rev.h                                   |   2 +-
 src/config_rev.pl                                  |   2 +-
 src/cppcheck_filtered                              |  18 +-
 src/flexfix                                        |   2 +-
 src/pod2latexfix                                   |   4 +-
 src/verilog.l                                      | 176 ++-----
 src/verilog.y                                      | 176 +++----
 src/vlcovgen                                       | 173 +++++++
 test_c/Makefile                                    |   2 +-
 test_c/Makefile_obj                                |   2 +-
 test_c/sim_main.cpp                                |   2 +-
 test_regress/Makefile                              |   2 +-
 test_regress/Makefile_obj                          |   4 +-
 test_regress/driver.pl                             |  81 +++-
 test_regress/t/t_EXAMPLE.v                         |   2 +-
 test_regress/t/t_alw_dly.pl                        |   1 +
 test_regress/t/t_assert_basic_cover.pl             |   2 +-
 test_regress/t/t_assert_cover.pl                   |   2 +-
 ..._array_pattern_packed.pl => t_assign_inline.pl} |   1 +
 test_regress/t/t_assign_inline.v                   |  52 +++
 test_regress/t/t_bitsel_struct3.v                  |  30 +-
 test_regress/t/t_bitsel_wire_array_bad.pl          |  13 +-
 test_regress/t/t_cast.v                            |   6 +
 .../t/{t_alw_splitord.pl => t_cellarray.pl}        |  10 +-
 test_regress/t/t_cellarray.v                       | 100 ++++
 test_regress/t/t_clk_condflop_nord.v               |  17 +-
 .../{t_array_pattern_unpacked.pl => t_clocker.pl}  |   1 +
 test_regress/t/t_clocker.v                         |  66 +++
 .../t/{t_alw_combdly.pl => t_concat_opt.pl}        |   0
 test_regress/t/t_concat_opt.v                      |  71 +++
 test_regress/t/t_cover_line.out                    | 170 +++++++
 test_regress/t/t_cover_line_cc.pl                  |   9 +-
 test_regress/t/t_cover_line_sp.pl                  |  24 -
 test_regress/t/t_cover_sva_notflat.pl              |   2 +-
 test_regress/t/t_cover_toggle.pl                   |   2 +-
 test_regress/t/t_detectarray_1.pl                  |   2 +-
 test_regress/t/t_detectarray_2.pl                  |   2 +-
 test_regress/t/t_dist_spdiff.pl                    |  23 -
 test_regress/t/{t_EXAMPLE.pl => t_enum_name2.pl}   |   0
 test_regress/t/t_enum_name2.v                      |  31 ++
 .../t/{t_func_rand.cpp => t_enum_public.cpp}       |  19 +-
 .../t/{t_func_v_noinl.pl => t_enum_public.pl}      |  15 +-
 test_regress/t/t_enum_public.v                     |  33 ++
 test_regress/t/t_enum_type_methods.v               | 143 +++---
 ...sv_enum_type_methods.pl => t_enum_type_pins.pl} |   0
 ...t_sv_enum_type_methods.v => t_enum_type_pins.v} |   0
 test_regress/t/{t_flag_f.pl => t_flag_define.pl}   |   8 +-
 test_regress/t/t_flag_define.v                     |  61 +++
 test_regress/t/t_flag_define.vc                    |   7 +
 ...{t_flag_topmodule_inline.pl => t_flag_stats.pl} |   8 +-
 test_regress/t/{t_func_lib.v => t_flag_stats.v}    |   6 +-
 test_regress/t/t_flag_werror_bad2.pl               |   2 +-
 test_regress/t/t_func.v                            |   2 +
 test_regress/t/t_help.pl                           |  22 +-
 test_regress/t/{t_inst_array.v => t_inst_comma.v}  |  21 +-
 .../{t_var_dotted_inl0.pl => t_inst_comma_inl0.pl} |   2 +-
 .../{t_var_dotted_inl1.pl => t_inst_comma_inl1.pl} |   2 +-
 test_regress/t/t_inst_misarray_bad.pl              |   2 +-
 test_regress/t/t_inst_overwide.pl                  |   2 +-
 test_regress/t/t_inst_overwide_bad.pl              |   2 +-
 test_regress/t/t_interface1_modport.v              |   8 +
 ...port_trace.pl => t_interface1_modport_nansi.pl} |   2 +-
 .../t/{t_interface1.pl => t_interface_gen4.pl}     |   0
 test_regress/t/t_interface_gen4.v                  |  58 +++
 ...{t_lint_defparam_bad.pl => t_lint_latch_bad.pl} |  11 +-
 test_regress/t/t_lint_latch_bad.v                  |  29 ++
 test_regress/t/t_math_const.v                      |  26 +-
 test_regress/t/t_math_real.v                       |   1 +
 test_regress/t/t_math_shift.pl                     |   1 +
 test_regress/t/t_math_signed5.v                    |  11 +
 test_regress/t/{t_EXAMPLE.pl => t_math_signed6.pl} |   0
 test_regress/t/t_math_signed6.v                    |  36 ++
 test_regress/t/t_mem.v                             |   2 +-
 test_regress/t/t_mem_first.v                       |   3 +-
 test_regress/t/t_mem_multi_io.pl                   |   1 +
 test_regress/t/t_mem_multi_io2_cc.pl               |   1 +
 test_regress/t/t_mem_multi_io3_cc.pl               |   1 +
 test_regress/t/t_mem_multi_io3_sc.pl               |   1 +
 test_regress/t/t_mem_multidim_trace.pl             |   2 +-
 test_regress/t/t_mem_slice.v                       |   3 -
 test_regress/t/{t_EXAMPLE.pl => t_order_2d.pl}     |   0
 test_regress/t/{t_unopt_array.v => t_order_2d.v}   |  53 +--
 test_regress/t/t_order_wireloop.pl                 |   6 +-
 test_regress/t/t_order_wireloop.v                  |   7 +-
 test_regress/t/t_param_array.v                     |   2 +-
 .../t/{t_func_rand.cpp => t_param_public.cpp}      |  17 +-
 test_regress/t/t_param_public.pl                   |  12 +-
 test_regress/t/t_param_public.v                    |   5 +
 test_regress/t/t_preproc.out                       |   8 +-
 test_regress/t/t_preproc.v                         |   4 +
 test_regress/t/t_preproc_psl.v                     |  72 ---
 test_regress/t/t_preproc_psl_off.out               |  99 ----
 test_regress/t/t_preproc_psl_off.pl                |  24 -
 test_regress/t/t_preproc_psl_on.out                |  88 ----
 test_regress/t/t_preproc_psl_on.pl                 |  24 -
 test_regress/t/t_psl_basic.pl                      |  19 -
 test_regress/t/t_psl_basic.v                       |  54 ---
 test_regress/t/t_psl_basic_cover.pl                |  26 --
 test_regress/t/t_psl_basic_off.pl                  |  21 -
 test_regress/t/t_savable.v                         |   1 +
 ...select_bad_range2.pl => t_select_bad_range3.pl} |   2 +-
 test_regress/t/t_select_bad_range3.v               |  19 +
 .../t/{t_EXAMPLE.pl => t_select_bound1.pl}         |   0
 .../t/{t_math_concat0.v => t_select_bound1.v}      |  50 +-
 .../t/{t_EXAMPLE.pl => t_select_bound2.pl}         |   0
 .../t/{t_math_concat0.v => t_select_bound2.v}      |  50 +-
 test_regress/t/t_select_plus.v                     |   2 +-
 ..._vec_sel.pl => t_slice_struct_array_modport.pl} |   0
 test_regress/t/t_slice_struct_array_modport.v      |  17 +
 test_regress/t/{t_EXAMPLE.pl => t_string.pl}       |   0
 test_regress/t/t_string.v                          |  91 ++++
 test_regress/t/t_sys_file_basic.v                  |   8 +
 test_regress/t/t_sys_sformat.v                     |   4 +
 ...nterface1_modport_trace.pl => t_trace_array.pl} |   6 +-
 test_regress/t/t_trace_array.v                     |  26 ++
 test_regress/t/t_trace_ena.v                       |   8 +
 test_regress/t/t_trace_ena_cc.pl                   |   1 +
 test_regress/t/t_trace_ena_sp.pl                   |  26 --
 test_regress/t/t_trace_off_sp.pl                   |  25 -
 .../t/{t_trace_param.pl => t_trace_primitive.pl}   |   6 +-
 test_regress/t/t_trace_primitive.v                 |  43 ++
 ...{t_clk_condflop_nord.pl => t_trace_scstruct.pl} |   6 +-
 test_regress/t/t_trace_scstruct.v                  |  26 ++
 test_regress/t/t_unoptflat_simple_2_bad.pl         |   1 +
 ...pattern_packed.pl => t_unpacked_array_order.pl} |   4 +-
 test_regress/t/t_unpacked_array_order.v            |  29 ++
 test_regress/t/{t_EXAMPLE.pl => t_unroll_genf.pl}  |   0
 test_regress/t/t_unroll_genf.v                     |  29 ++
 test_regress/t/t_var_pins_sc1.pl                   |  34 +-
 test_regress/t/t_var_pins_sc2.pl                   |  34 +-
 test_regress/t/t_var_pins_sc32.pl                  |  34 +-
 test_regress/t/t_var_pins_sc64.pl                  |  34 +-
 test_regress/t/t_var_pins_sc_biguint.pl            |  42 +-
 test_regress/t/t_var_pins_sc_uint.pl               |  42 +-
 test_regress/t/t_var_pins_sc_uint_biguint.pl       |  42 +-
 test_regress/t/t_var_pins_scui.pl                  |  34 +-
 test_regress/t/t_var_pinsizes.v                    |  11 +-
 test_regress/t/{t_gen_index.pl => t_var_static.pl} |   7 +-
 test_regress/t/t_var_static.v                      |  69 +++
 test_regress/t/t_vlcov_data_a.dat                  |   5 +
 test_regress/t/t_vlcov_data_b.dat                  |   5 +
 test_regress/t/t_vlcov_data_c.dat                  |   2 +
 test_regress/t/t_vlcov_data_d.dat                  |   2 +
 test_regress/t/t_vlcov_merge.out                   |   8 +
 .../t/{t_lint_restore_bad.pl => t_vlcov_merge.pl}  |  17 +-
 test_regress/t/t_vlcov_rank.out                    |   6 +
 .../t/{t_mem_multi_io2_cc.pl => t_vlcov_rank.pl}   |  22 +-
 .../t/{t_extend_class.pl => t_vlcov_rewrite.pl}    |  22 +-
 test_regress/t/t_vpi_get.cpp                       |   4 +-
 test_regress/t/t_vpi_memory.cpp                    |   4 +-
 test_regress/t/t_vpi_unimpl.cpp                    |   4 +-
 test_regress/t/t_vpi_var.cpp                       |   4 +-
 test_sc/Makefile                                   |   9 +-
 test_sc/Makefile_obj                               |   2 +-
 test_sc/sc_main.cpp                                |  39 +-
 test_sp/.gitignore                                 |   8 -
 test_sp/Makefile                                   |  86 ----
 test_sp/Makefile_obj                               |  37 --
 test_vcs/.gitignore                                |  13 -
 test_vcs/Makefile                                  |  76 ----
 test_vcs/bench.v                                   |  81 ----
 test_verilated/sim_main.cpp                        |   2 +-
 test_verilated/sim_main.v                          |   2 +-
 test_verilated/vgen.pl                             |   2 +-
 verilator.1                                        | 291 +++++++-----
 verilator.html                                     | 285 +++++++-----
 verilator.pdf                                      | Bin 388497 -> 388607 bytes
 verilator.txt                                      | 291 +++++++-----
 verilator_coverage.1                               | 238 ++++++++++
 421 files changed, 8895 insertions(+), 4145 deletions(-)
 rename readme.pod => README.pod (90%)
 create mode 100755 bin/verilator_coverage
 delete mode 100644 debian/manpages
 create mode 100644 debian/patches/install_all_manpages.diff
 create mode 100644 debian/patches/typos.diff
 delete mode 100644 debian/verilator_profcfunc.1
 create mode 100644 include/verilated_cov.cpp
 create mode 100644 include/verilated_cov.h
 create mode 100644 include/verilated_cov_key.h
 copy src/{V3Error.cpp => V3FileLine.cpp} (56%)
 create mode 100644 src/V3FileLine.h
 create mode 100644 src/V3Os.cpp
 create mode 100644 src/V3Os.h
 create mode 100644 src/VlcBucket.h
 create mode 100644 src/VlcMain.cpp
 create mode 100644 src/VlcOptions.h
 create mode 100644 src/VlcPoint.h
 create mode 100644 src/VlcSource.h
 create mode 100644 src/VlcTest.h
 create mode 100644 src/VlcTop.cpp
 create mode 100644 src/VlcTop.h
 create mode 100755 src/vlcovgen
 copy test_regress/t/{t_array_pattern_packed.pl => t_assign_inline.pl} (92%)
 create mode 100644 test_regress/t/t_assign_inline.v
 copy test_regress/t/{t_alw_splitord.pl => t_cellarray.pl} (80%)
 create mode 100644 test_regress/t/t_cellarray.v
 copy test_regress/t/{t_array_pattern_unpacked.pl => t_clocker.pl} (91%)
 create mode 100644 test_regress/t/t_clocker.v
 copy test_regress/t/{t_alw_combdly.pl => t_concat_opt.pl} (100%)
 create mode 100644 test_regress/t/t_concat_opt.v
 create mode 100644 test_regress/t/t_cover_line.out
 delete mode 100755 test_regress/t/t_cover_line_sp.pl
 delete mode 100755 test_regress/t/t_dist_spdiff.pl
 copy test_regress/t/{t_EXAMPLE.pl => t_enum_name2.pl} (100%)
 create mode 100644 test_regress/t/t_enum_name2.v
 copy test_regress/t/{t_func_rand.cpp => t_enum_public.cpp} (52%)
 copy test_regress/t/{t_func_v_noinl.pl => t_enum_public.pl} (72%)
 create mode 100644 test_regress/t/t_enum_public.v
 rename test_regress/t/{t_sv_enum_type_methods.pl => t_enum_type_pins.pl} (100%)
 rename test_regress/t/{t_sv_enum_type_methods.v => t_enum_type_pins.v} (100%)
 copy test_regress/t/{t_flag_f.pl => t_flag_define.pl} (84%)
 create mode 100644 test_regress/t/t_flag_define.v
 create mode 100644 test_regress/t/t_flag_define.vc
 copy test_regress/t/{t_flag_topmodule_inline.pl => t_flag_stats.pl} (84%)
 copy test_regress/t/{t_func_lib.v => t_flag_stats.v} (68%)
 copy test_regress/t/{t_inst_array.v => t_inst_comma.v} (64%)
 copy test_regress/t/{t_var_dotted_inl0.pl => t_inst_comma_inl0.pl} (93%)
 copy test_regress/t/{t_var_dotted_inl1.pl => t_inst_comma_inl1.pl} (93%)
 copy test_regress/t/{t_interface1_modport_trace.pl => t_interface1_modport_nansi.pl} (93%)
 copy test_regress/t/{t_interface1.pl => t_interface_gen4.pl} (100%)
 create mode 100644 test_regress/t/t_interface_gen4.v
 copy test_regress/t/{t_lint_defparam_bad.pl => t_lint_latch_bad.pl} (59%)
 create mode 100644 test_regress/t/t_lint_latch_bad.v
 copy test_regress/t/{t_EXAMPLE.pl => t_math_signed6.pl} (100%)
 create mode 100644 test_regress/t/t_math_signed6.v
 copy test_regress/t/{t_EXAMPLE.pl => t_order_2d.pl} (100%)
 copy test_regress/t/{t_unopt_array.v => t_order_2d.v} (64%)
 copy test_regress/t/{t_func_rand.cpp => t_param_public.cpp} (52%)
 delete mode 100644 test_regress/t/t_preproc_psl.v
 delete mode 100644 test_regress/t/t_preproc_psl_off.out
 delete mode 100755 test_regress/t/t_preproc_psl_off.pl
 delete mode 100644 test_regress/t/t_preproc_psl_on.out
 delete mode 100755 test_regress/t/t_preproc_psl_on.pl
 delete mode 100755 test_regress/t/t_psl_basic.pl
 delete mode 100644 test_regress/t/t_psl_basic.v
 delete mode 100755 test_regress/t/t_psl_basic_cover.pl
 delete mode 100755 test_regress/t/t_psl_basic_off.pl
 copy test_regress/t/{t_select_bad_range2.pl => t_select_bad_range3.pl} (84%)
 create mode 100644 test_regress/t/t_select_bad_range3.v
 copy test_regress/t/{t_EXAMPLE.pl => t_select_bound1.pl} (100%)
 copy test_regress/t/{t_math_concat0.v => t_select_bound1.v} (62%)
 copy test_regress/t/{t_EXAMPLE.pl => t_select_bound2.pl} (100%)
 copy test_regress/t/{t_math_concat0.v => t_select_bound2.v} (61%)
 copy test_regress/t/{t_var_vec_sel.pl => t_slice_struct_array_modport.pl} (100%)
 create mode 100644 test_regress/t/t_slice_struct_array_modport.v
 copy test_regress/t/{t_EXAMPLE.pl => t_string.pl} (100%)
 create mode 100644 test_regress/t/t_string.v
 copy test_regress/t/{t_interface1_modport_trace.pl => t_trace_array.pl} (78%)
 create mode 100644 test_regress/t/t_trace_array.v
 delete mode 100755 test_regress/t/t_trace_ena_sp.pl
 delete mode 100755 test_regress/t/t_trace_off_sp.pl
 copy test_regress/t/{t_trace_param.pl => t_trace_primitive.pl} (86%)
 create mode 100644 test_regress/t/t_trace_primitive.v
 copy test_regress/t/{t_clk_condflop_nord.pl => t_trace_scstruct.pl} (78%)
 create mode 100644 test_regress/t/t_trace_scstruct.v
 copy test_regress/t/{t_array_pattern_packed.pl => t_unpacked_array_order.pl} (96%)
 create mode 100644 test_regress/t/t_unpacked_array_order.v
 copy test_regress/t/{t_EXAMPLE.pl => t_unroll_genf.pl} (100%)
 create mode 100644 test_regress/t/t_unroll_genf.v
 copy test_regress/t/{t_gen_index.pl => t_var_static.pl} (91%)
 create mode 100644 test_regress/t/t_var_static.v
 create mode 100644 test_regress/t/t_vlcov_data_a.dat
 create mode 100644 test_regress/t/t_vlcov_data_b.dat
 create mode 100644 test_regress/t/t_vlcov_data_c.dat
 create mode 100644 test_regress/t/t_vlcov_data_d.dat
 create mode 100644 test_regress/t/t_vlcov_merge.out
 copy test_regress/t/{t_lint_restore_bad.pl => t_vlcov_merge.pl} (61%)
 create mode 100644 test_regress/t/t_vlcov_rank.out
 copy test_regress/t/{t_mem_multi_io2_cc.pl => t_vlcov_rank.pl} (59%)
 copy test_regress/t/{t_extend_class.pl => t_vlcov_rewrite.pl} (55%)
 delete mode 100644 test_sp/.gitignore
 delete mode 100644 test_sp/Makefile
 delete mode 100644 test_sp/Makefile_obj
 delete mode 100644 test_vcs/.gitignore
 delete mode 100644 test_vcs/Makefile
 delete mode 100644 test_vcs/bench.v
 create mode 100644 verilator_coverage.1

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/pkg-electronics/verilator.git



More information about the Pkg-electronics-commits mailing list