[Pkg-electronics-commits] [verilator] 03/06: Merge tag 'upstream/3.890'

Dr. Tobias Quathamer toddy at debian.org
Tue Dec 20 22:54:41 UTC 2016


This is an automated email from the git hooks/post-receive script.

toddy pushed a commit to branch master
in repository verilator.

commit e170f74fb60d6a338a57444c07b8d755ce2935c9
Merge: deb3624 8a65809
Author: Dr. Tobias Quathamer <toddy at debian.org>
Date:   Tue Dec 20 23:41:28 2016 +0100

    Merge tag 'upstream/3.890'
    
    Upstream version 3.890

 Changes                                            |  208 +-
 Makefile.in                                        |   13 +-
 README                                             |    5 +-
 README.html                                        |  294 +-
 README.pdf                                         |  Bin 108810 -> 90097 bytes
 README.pod                                         |    5 +-
 TODO                                               |    2 +-
 bin/verilator                                      |  502 +-
 bin/verilator_coverage                             |    4 +-
 bin/verilator_difftree                             |    2 +-
 bin/verilator_includer                             |    2 +-
 bin/verilator_profcfunc                            |    2 +-
 configure                                          |  747 ++-
 configure.ac                                       |   22 +-
 include/verilated.cpp                              |  217 +-
 include/verilated.h                                |   26 +-
 include/verilated.mk.in                            |   36 +-
 include/verilated.v                                |    2 +-
 include/verilated_config.h                         |    4 +-
 include/verilated_config.h.in                      |    2 +-
 include/verilated_cov.cpp                          |   11 +-
 include/verilated_cov.h                            |   15 +-
 include/verilated_cov_key.h                        |    2 +-
 include/verilated_dpi.cpp                          |    2 +-
 include/verilated_dpi.h                            |    2 +-
 include/verilated_heavy.h                          |    6 +-
 include/verilated_imp.h                            |   22 +-
 include/verilated_save.cpp                         |    4 +-
 include/verilated_save.h                           |    6 +-
 include/verilated_sc.h                             |    2 +-
 include/verilated_syms.h                           |   26 +-
 include/verilated_vcd_c.cpp                        |    4 +-
 include/verilated_vcd_c.h                          |    8 +-
 include/verilated_vcd_sc.cpp                       |    5 +-
 include/verilated_vcd_sc.h                         |    2 +-
 include/verilated_vpi.cpp                          | 1044 +++-
 include/verilated_vpi.h                            | 1012 +---
 include/verilatedos.h                              |   28 +-
 internals.html                                     | 1080 ++--
 internals.pdf                                      |  Bin 196041 -> 163359 bytes
 internals.pod                                      |    2 +-
 internals.txt                                      |    2 +-
 src/.gdbinit                                       |    2 +-
 src/Makefile.in                                    |    4 +-
 src/Makefile_obj.in                                |    9 +-
 src/V3Active.cpp                                   |   20 +-
 src/V3Active.h                                     |    2 +-
 src/V3ActiveTop.cpp                                |    6 +-
 src/V3ActiveTop.h                                  |    2 +-
 src/V3Assert.cpp                                   |   20 +-
 src/V3Assert.h                                     |    2 +-
 src/V3AssertPre.cpp                                |    8 +-
 src/V3AssertPre.h                                  |    2 +-
 src/V3Ast.cpp                                      |  254 +-
 src/V3Ast.h                                        |  144 +-
 src/V3AstConstOnly.h                               |    2 +-
 src/V3AstNodes.cpp                                 |   40 +-
 src/V3AstNodes.h                                   |  937 ++--
 src/V3Begin.cpp                                    |   33 +-
 src/V3Begin.h                                      |    2 +-
 src/V3Branch.cpp                                   |    4 +-
 src/V3Branch.h                                     |    2 +-
 src/V3Broken.cpp                                   |   19 +-
 src/V3Broken.h                                     |    2 +-
 src/V3CCtors.cpp                                   |  117 +
 src/{V3Branch.h => V3CCtors.h}                     |   14 +-
 src/V3Case.cpp                                     |   30 +-
 src/V3Case.h                                       |    2 +-
 src/V3Cast.cpp                                     |    4 +-
 src/V3Cast.h                                       |    2 +-
 src/V3Cdc.cpp                                      |    8 +-
 src/V3Cdc.h                                        |    2 +-
 src/V3Changed.cpp                                  |   68 +-
 src/V3Changed.h                                    |    2 +-
 src/V3Clean.cpp                                    |    9 +-
 src/V3Clean.h                                      |    2 +-
 src/V3ClkGater.cpp                                 |   24 +-
 src/V3ClkGater.h                                   |    2 +-
 src/V3Clock.cpp                                    |   16 +-
 src/V3Clock.h                                      |    2 +-
 src/V3Combine.cpp                                  |   18 +-
 src/V3Combine.h                                    |    2 +-
 src/V3Config.cpp                                   |   10 +-
 src/V3Config.h                                     |    4 +-
 src/V3Const.cpp                                    |  233 +-
 src/V3Const.h                                      |    2 +-
 src/V3Coverage.cpp                                 |   10 +-
 src/V3Coverage.h                                   |    2 +-
 src/V3CoverageJoin.cpp                             |    6 +-
 src/V3CoverageJoin.h                               |    2 +-
 src/V3Dead.cpp                                     |  217 +-
 src/V3Dead.h                                       |    4 +-
 src/V3Delayed.cpp                                  |   10 +-
 src/V3Delayed.h                                    |    2 +-
 src/V3Depth.cpp                                    |    4 +-
 src/V3Depth.h                                      |    2 +-
 src/V3DepthBlock.cpp                               |    4 +-
 src/V3DepthBlock.h                                 |    2 +-
 src/V3Descope.cpp                                  |    4 +-
 src/V3Descope.h                                    |    2 +-
 src/V3EmitC.cpp                                    |  283 +-
 src/V3EmitC.h                                      |    2 +-
 src/V3EmitCBase.h                                  |    5 +-
 src/V3EmitCInlines.cpp                             |    4 +-
 src/V3EmitCSyms.cpp                                |   12 +-
 src/V3EmitMk.cpp                                   |    7 +-
 src/V3EmitMk.h                                     |    2 +-
 src/V3EmitV.cpp                                    |   14 +-
 src/V3EmitV.h                                      |    2 +-
 src/V3EmitXml.cpp                                  |    4 +-
 src/V3EmitXml.h                                    |    2 +-
 src/V3Error.cpp                                    |    7 +-
 src/V3Error.h                                      |   10 +-
 src/V3Expand.cpp                                   |   36 +-
 src/V3Expand.h                                     |    2 +-
 src/V3File.cpp                                     |   36 +-
 src/V3File.h                                       |   43 +-
 src/V3FileLine.cpp                                 |   12 +-
 src/V3FileLine.h                                   |    6 +-
 src/V3Gate.cpp                                     |  116 +-
 src/V3Gate.h                                       |    2 +-
 src/V3GenClk.cpp                                   |    6 +-
 src/V3GenClk.h                                     |    2 +-
 src/V3Global.h                                     |    4 +-
 src/V3Graph.cpp                                    |    4 +-
 src/V3Graph.h                                      |    4 +-
 src/V3GraphAcyc.cpp                                |   30 +-
 src/V3GraphAlg.cpp                                 |    6 +-
 src/V3GraphAlg.h                                   |    2 +-
 src/V3GraphDfa.cpp                                 |   12 +-
 src/V3GraphDfa.h                                   |    2 +-
 src/V3GraphTest.cpp                                |    2 +-
 src/V3Hashed.cpp                                   |    8 +-
 src/V3Hashed.h                                     |    2 +-
 src/V3Inline.cpp                                   |   85 +-
 src/V3Inline.h                                     |    2 +-
 src/V3Inst.cpp                                     |  168 +-
 src/V3Inst.h                                       |    2 +-
 src/V3LangCode.h                                   |    5 +-
 src/V3LanguageWords.h                              |    2 +-
 src/V3Life.cpp                                     |   24 +-
 src/V3Life.h                                       |    2 +-
 src/V3LifePost.cpp                                 |   10 +-
 src/V3LifePost.h                                   |    2 +-
 src/V3LinkCells.cpp                                |   38 +-
 src/V3LinkCells.h                                  |    2 +-
 src/V3LinkDot.cpp                                  |  368 +-
 src/V3LinkDot.h                                    |    2 +-
 src/V3LinkJump.cpp                                 |   14 +-
 src/V3LinkJump.h                                   |    2 +-
 src/V3LinkLValue.cpp                               |   10 +-
 src/V3LinkLValue.h                                 |    2 +-
 src/V3LinkLevel.cpp                                |    4 +-
 src/V3LinkLevel.h                                  |    2 +-
 src/V3LinkParse.cpp                                |   92 +-
 src/V3LinkParse.h                                  |    2 +-
 src/V3LinkResolve.cpp                              |  134 +-
 src/V3LinkResolve.h                                |    2 +-
 src/V3List.h                                       |    2 +-
 src/V3Localize.cpp                                 |    6 +-
 src/V3Localize.h                                   |    2 +-
 src/V3Name.cpp                                     |    4 +-
 src/V3Name.h                                       |    2 +-
 src/V3Number.cpp                                   |   89 +-
 src/V3Number.h                                     |   24 +-
 src/V3Number_test.cpp                              |    2 +-
 src/V3Options.cpp                                  |  104 +-
 src/V3Options.h                                    |   23 +-
 src/V3Order.cpp                                    |   18 +-
 src/V3Order.h                                      |    2 +-
 src/V3OrderGraph.h                                 |    3 +-
 src/V3Os.cpp                                       |    4 +-
 src/V3Os.h                                         |    2 +-
 src/V3Param.cpp                                    |  269 +-
 src/V3Param.h                                      |    2 +-
 src/V3Parse.h                                      |    3 +-
 src/V3ParseGrammar.cpp                             |    2 +-
 src/V3ParseImp.cpp                                 |    4 +-
 src/V3ParseImp.h                                   |    4 +-
 src/V3ParseLex.cpp                                 |    2 +-
 src/V3ParseSym.h                                   |    8 +-
 src/V3PreLex.h                                     |    2 +-
 src/V3PreLex.l                                     |    4 +-
 src/V3PreProc.cpp                                  |    4 +-
 src/V3PreProc.h                                    |    2 +-
 src/V3PreShell.cpp                                 |    2 +-
 src/V3PreShell.h                                   |    2 +-
 src/V3Premit.cpp                                   |   17 +-
 src/V3Premit.h                                     |    2 +-
 src/V3Scope.cpp                                    |   10 +-
 src/V3Scope.h                                      |    2 +-
 src/V3SenTree.h                                    |    2 +-
 src/V3Simulate.h                                   |  192 +-
 src/V3Slice.cpp                                    |  181 +-
 src/V3Slice.h                                      |    2 +-
 src/V3Split.cpp                                    |    4 +-
 src/V3Split.h                                      |    2 +-
 src/V3SplitAs.cpp                                  |    6 +-
 src/V3SplitAs.h                                    |    2 +-
 src/V3Stats.cpp                                    |    2 +-
 src/V3Stats.h                                      |    4 +-
 src/V3StatsReport.cpp                              |    6 +-
 src/V3String.cpp                                   |  249 +-
 src/V3String.h                                     |  103 +-
 src/V3Subst.cpp                                    |   14 +-
 src/V3Subst.h                                      |    2 +-
 src/V3SymTable.h                                   |   12 +-
 src/V3Table.cpp                                    |   12 +-
 src/V3Table.h                                      |    2 +-
 src/V3Task.cpp                                     |   47 +-
 src/V3Task.h                                       |    2 +-
 src/V3Trace.cpp                                    |   24 +-
 src/V3Trace.h                                      |    2 +-
 src/V3TraceDecl.cpp                                |    9 +-
 src/V3TraceDecl.h                                  |    2 +-
 src/V3Tristate.cpp                                 |   17 +-
 src/V3Tristate.h                                   |    2 +-
 src/V3Undriven.cpp                                 |   25 +-
 src/V3Undriven.h                                   |    2 +-
 src/V3Unknown.cpp                                  |   42 +-
 src/V3Unknown.h                                    |    2 +-
 src/V3Unroll.cpp                                   |  316 +-
 src/V3Unroll.h                                     |    2 +-
 src/V3Width.cpp                                    |  411 +-
 src/V3Width.h                                      |    2 +-
 src/V3WidthCommit.h                                |   23 +-
 src/V3WidthSel.cpp                                 |   46 +-
 src/Verilator.cpp                                  |   20 +-
 src/VlcBucket.h                                    |    2 +-
 src/VlcMain.cpp                                    |    4 +-
 src/VlcOptions.h                                   |    2 +-
 src/VlcPoint.h                                     |    2 +-
 src/VlcSource.h                                    |    4 +-
 src/VlcTest.h                                      |    2 +-
 src/VlcTop.cpp                                     |    6 +-
 src/VlcTop.h                                       |    2 +-
 src/astgen                                         |   16 +-
 src/bisonpre                                       |    2 +-
 src/config_build.h                                 |    4 +-
 src/config_build.h.in                              |    2 +-
 src/config_rev.h                                   |    2 +-
 src/config_rev.pl                                  |    2 +-
 src/cppcheck_filtered                              |   32 +-
 src/flexfix                                        |    5 +-
 src/pod2latexfix                                   |    2 +-
 src/verilog.l                                      |   34 +-
 src/verilog.y                                      |  192 +-
 src/vlcovgen                                       |    2 +-
 test_c/Makefile                                    |    2 +-
 test_c/Makefile_obj                                |    2 +-
 test_c/sim_main.cpp                                |    2 +-
 test_regress/Makefile                              |    4 +-
 test_regress/Makefile_obj                          |    4 +-
 test_regress/driver.pl                             |    9 +-
 test_regress/t/TestSimulator.h                     |    9 +-
 test_regress/t/t_EXAMPLE.v                         |    2 +-
 .../t/{t_gen_index.pl => t_array_compare.pl}       |    7 +-
 test_regress/t/t_array_compare.v                   |   58 +
 .../t/{t_gen_index.pl => t_array_interface.pl}     |    7 +-
 test_regress/t/t_array_interface.v                 |   64 +
 .../{t_gen_index.pl => t_array_interface_noinl.pl} |    9 +-
 test_regress/t/{t_gen_index.pl => t_array_rev.pl}  |    7 +-
 test_regress/t/t_array_rev.v                       |   60 +
 .../t/{t_gen_index.pl => t_assert_elab.pl}         |    7 +-
 test_regress/t/t_assert_elab.v                     |   25 +
 .../{t_uniqueif_fail3.pl => t_assert_elab_bad.pl}  |   22 +-
 test_regress/t/t_assert_synth_full.pl              |    2 +-
 test_regress/t/t_assert_synth_parallel.pl          |    2 +-
 .../t/{t_gen_index.pl => t_bitsel_enum.pl}         |    7 +-
 test_regress/t/t_bitsel_enum.v                     |   27 +
 test_regress/t/{t_gen_index.pl => t_case_group.pl} |    7 +-
 test_regress/t/t_case_group.v                      |   25 +
 test_regress/t/t_cast.v                            |   10 +
 test_regress/t/t_cdc_async_bad.pl                  |    6 +-
 .../t/{t_gen_index.pl => t_concat_large.pl}        |    9 +-
 test_regress/t/t_concat_large.v                    |   19 +
 ...ruct_array_modport.pl => t_concat_large_bad.pl} |   11 +-
 test_regress/t/t_concat_large_bad.v                |   14 +
 test_regress/t/t_cover_line.out                    |   12 +-
 test_regress/t/t_cover_line.v                      |   12 +-
 test_regress/t/t_cover_sva_notflat.pl              |    2 +-
 test_regress/t/t_cover_toggle.v                    |   26 +-
 test_regress/t/{t_gen_index.pl => t_crazy_sel.pl}  |    7 +-
 test_regress/t/t_crazy_sel.v                       |   42 +
 test_regress/t/t_display.pl                        |   40 +-
 test_regress/t/t_display.v                         |   68 +-
 test_regress/t/t_display_bad.pl                    |    1 -
 test_regress/t/{t_gen_index.pl => t_display_l.pl}  |    7 +-
 test_regress/t/t_display_l.v                       |   15 +
 .../{t_inst_tree_inl1_pub1.pl => t_display_mcd.pl} |   15 +-
 test_regress/t/t_display_mcd.v                     |   14 +
 test_regress/t/t_display_noopt.pl                  |   40 +-
 test_regress/t/t_dist_portability.pl               |    9 +-
 test_regress/t/t_dpi_accessors.cpp                 |    2 +-
 test_regress/t/t_dpi_display.pl                    |    4 +-
 test_regress/t/t_dpi_dup_bad.pl                    |    2 +-
 ...ce_struct_array_modport.pl => t_dpi_exp_bad.pl} |    8 +-
 test_regress/t/t_dpi_exp_bad.v                     |   13 +
 test_regress/t/t_dpi_export.v                      |    2 +
 test_regress/t/t_dpi_export_c.cpp                  |   13 +
 .../t/{t_gen_index.pl => t_dpi_imp_gen.pl}         |   10 +-
 test_regress/t/t_dpi_imp_gen.v                     |   33 +
 test_regress/t/t_dpi_imp_gen_c.cpp                 |   39 +
 test_regress/t/t_dpi_shortcircuit.v                |   11 +-
 .../{t_package_ddecl.pl => t_dpi_shortcircuit2.pl} |    5 +-
 test_regress/t/t_dpi_shortcircuit2.v               |   66 +
 test_regress/t/t_dpi_shortcircuit_c.cpp            |   12 +-
 .../t/{t_gen_index.pl => t_enum_large_methods.pl}  |    7 +-
 test_regress/t/t_enum_large_methods.v              |   55 +
 test_regress/t/{t_gen_index.pl => t_enum_name3.pl} |    7 +-
 test_regress/t/t_enum_name3.v                      |   23 +
 test_regress/t/t_enum_type_methods.v               |   10 +-
 .../t/{t_package_ddecl.pl => t_flag_names.pl}      |    9 +-
 test_regress/t/t_flag_names.v                      |   23 +
 ..._inst_tree_inl1_pub1.pl => t_flag_parameter.pl} |   16 +-
 test_regress/t/t_flag_parameter.v                  |   49 +
 test_regress/t/t_flag_parameter.vc                 |   15 +
 test_regress/t/{t_gen_index.pl => t_foreach.pl}    |    7 +-
 test_regress/t/t_foreach.v                         |   76 +
 test_regress/t/t_func_const.v                      |   44 +-
 test_regress/t/{t_gen_index.pl => t_gen_for2.pl}   |    7 +-
 test_regress/t/t_gen_for2.v                        |   31 +
 test_regress/t/t_gen_index.pl                      |    2 -
 test_regress/t/t_gen_upscope.pl                    |   22 +-
 .../t/{t_gen_index.pl => t_genfor_hier.pl}         |    7 +-
 test_regress/t/t_genfor_hier.v                     |   23 +
 test_regress/t/t_inst_misarray_bad.pl              |   14 +-
 test_regress/t/{t_gen_index.pl => t_inst_slice.pl} |    7 +-
 test_regress/t/t_inst_slice.v                      |   84 +
 .../{t_package_ddecl.pl => t_inst_slice_noinl.pl}  |   11 +-
 test_regress/t/t_inst_tree_inl0_pub0.pl            |    2 +-
 test_regress/t/t_inst_tree_inl0_pub1.pl            |    2 +-
 test_regress/t/t_inst_tree_inl1_pub0.pl            |    2 +-
 test_regress/t/t_inst_tree_inl1_pub1.pl            |    2 +-
 ...kage_ddecl.pl => t_interface1_modport_noinl.pl} |   12 +-
 .../{t_package_ddecl.pl => t_interface1_noinl.pl}  |   12 +-
 .../{t_package_ddecl.pl => t_interface2_noinl.pl}  |   12 +-
 .../t/{t_gen_index.pl => t_interface_array.pl}     |    7 +-
 test_regress/t/t_interface_array.v                 |   79 +
 ...t_array_modport.pl => t_interface_array_bad.pl} |   10 +-
 test_regress/t/t_interface_array_bad.v             |   53 +
 ...t_gen_index.pl => t_interface_array_modport.pl} |    7 +-
 test_regress/t/t_interface_array_modport.v         |   35 +
 .../{t_gen_index.pl => t_interface_array_noinl.pl} |    9 +-
 ..._package_ddecl.pl => t_interface_down_noinl.pl} |   12 +-
 .../t/{t_gen_index.pl => t_interface_gen10.pl}     |    9 +-
 test_regress/t/t_interface_gen10.v                 |   33 +
 ...package_ddecl.pl => t_interface_gen10_noinl.pl} |   12 +-
 .../t/{t_gen_index.pl => t_interface_gen11.pl}     |    9 +-
 test_regress/t/t_interface_gen11.v                 |   39 +
 ...package_ddecl.pl => t_interface_gen11_noinl.pl} |   12 +-
 .../t/{t_gen_index.pl => t_interface_gen12.pl}     |    9 +-
 test_regress/t/t_interface_gen12.v                 |   29 +
 ...package_ddecl.pl => t_interface_gen12_noinl.pl} |   12 +-
 ..._package_ddecl.pl => t_interface_gen2_noinl.pl} |   12 +-
 ..._package_ddecl.pl => t_interface_gen3_noinl.pl} |   12 +-
 ..._package_ddecl.pl => t_interface_gen4_noinl.pl} |   12 +-
 .../t/{t_gen_index.pl => t_interface_gen5.pl}      |    9 +-
 test_regress/t/t_interface_gen5.v                  |   60 +
 ..._package_ddecl.pl => t_interface_gen5_noinl.pl} |   11 +-
 .../t/{t_gen_index.pl => t_interface_gen6.pl}      |    9 +-
 test_regress/t/t_interface_gen6.v                  |   56 +
 ..._package_ddecl.pl => t_interface_gen6_noinl.pl} |   12 +-
 .../t/{t_gen_index.pl => t_interface_gen7.pl}      |    9 +-
 test_regress/t/t_interface_gen7.v                  |   67 +
 ..._package_ddecl.pl => t_interface_gen7_noinl.pl} |   12 +-
 .../t/{t_gen_index.pl => t_interface_gen8.pl}      |    9 +-
 test_regress/t/t_interface_gen8.v                  |   55 +
 ..._package_ddecl.pl => t_interface_gen8_noinl.pl} |   12 +-
 .../t/{t_gen_index.pl => t_interface_gen9.pl}      |    9 +-
 test_regress/t/t_interface_gen9.v                  |   32 +
 ..._package_ddecl.pl => t_interface_gen9_noinl.pl} |   12 +-
 ...t_package_ddecl.pl => t_interface_gen_noinl.pl} |   12 +-
 .../{t_gen_index.pl => t_interface_missing_bad.pl} |    7 +-
 test_regress/t/t_interface_missing_bad.v           |   33 +
 ...decl.pl => t_interface_modport_import_noinl.pl} |   10 +-
 ...ckage_ddecl.pl => t_interface_modport_noinl.pl} |   12 +-
 test_regress/t/t_interface_mp_func.v               |   12 +-
 ...ckage_ddecl.pl => t_interface_mp_func_noinl.pl} |   10 +-
 .../t/{t_gen_index.pl => t_interface_noinl.pl}     |    9 +-
 ..._package_ddecl.pl => t_interface_twod_noinl.pl} |   12 +-
 test_regress/t/t_interface_typo_bad.pl             |   25 +
 test_regress/t/t_interface_typo_bad.v              |   29 +
 ..._struct_array_modport.pl => t_lint_comb_use.pl} |    6 +-
 test_regress/t/t_lint_comb_use.v                   |   30 +
 test_regress/t/t_lint_ifdepth_bad.v                |   50 +-
 test_regress/t/t_lint_multidriven_bad.pl           |    2 +-
 test_regress/t/t_math_real.v                       |    5 +-
 test_regress/t/t_math_shift.v                      |    8 +-
 .../{t_vpi_unimpl.pl => t_math_shift_over_bad.pl}  |   16 +-
 test_regress/t/t_math_shift_over_bad.v             |   20 +
 .../t/{t_gen_index.pl => t_math_signed7.pl}        |    7 +-
 test_regress/t/t_math_signed7.v                    |   44 +
 test_regress/t/{t_gen_index.pl => t_mem_cond.pl}   |    7 +-
 test_regress/t/t_mem_cond.v                        |   30 +
 .../t/{t_gen_index.pl => t_mod_interface_array.pl} |    7 +-
 test_regress/t/t_mod_interface_array.v             |   63 +
 .../{t_gen_index.pl => t_mod_interface_array1.pl}  |    7 +-
 test_regress/t/t_mod_interface_array1.v            |   65 +
 ...ge_ddecl.pl => t_mod_interface_array1_noinl.pl} |   10 +-
 .../{t_gen_index.pl => t_mod_interface_array2.pl}  |    7 +-
 test_regress/t/t_mod_interface_array2.v            |   64 +
 ...ge_ddecl.pl => t_mod_interface_array2_noinl.pl} |   10 +-
 ...age_ddecl.pl => t_mod_interface_array_noinl.pl} |   10 +-
 .../t/{t_gen_index.pl => t_mod_longname.pl}        |    7 +-
 test_regress/t/t_mod_longname.v                    |   30 +
 test_regress/t/t_order_loop_bad.pl                 |    2 +-
 test_regress/t/t_package_ddecl.pl                  |    2 -
 .../t/{t_gen_index.pl => t_package_dot.pl}         |    7 +-
 test_regress/t/t_package_dot.v                     |   24 +
 .../t/{t_package_ddecl.pl => t_param_avec.pl}      |    8 +-
 test_regress/t/t_param_avec.v                      |   38 +
 test_regress/t/{t_gen_index.pl => t_param_func.pl} |    3 -
 test_regress/t/t_param_func.v                      |   34 +
 test_regress/t/{t_gen_index.pl => t_param_real.pl} |    7 +-
 test_regress/t/t_param_real.v                      |   26 +
 test_regress/t/{t_gen_index.pl => t_param_seg.pl}  |    7 +-
 test_regress/t/t_param_seg.v                       |   28 +
 test_regress/t/t_param_sel_range_bad.pl            |    4 -
 .../t/{t_gen_index.pl => t_param_shift.pl}         |    7 +-
 test_regress/t/t_param_shift.v                     |   30 +
 test_regress/t/t_param_type.pl                     |    8 +-
 test_regress/t/t_param_type.v                      |    4 +-
 .../t/{t_gen_index.pl => t_param_type2.pl}         |    7 +-
 test_regress/t/t_param_type2.v                     |   40 +
 ...e_struct_array_modport.pl => t_param_up_bad.pl} |    6 +-
 test_regress/t/t_param_up_bad.v                    |   31 +
 .../t/{t_gen_index.pl => t_param_wide_io.pl}       |    5 +-
 test_regress/t/t_param_wide_io.v                   |   19 +
 test_regress/t/t_pipe_exit_bad.pl                  |    4 +-
 test_regress/t/t_scope_map.cpp                     |  160 +
 ...lice_struct_array_modport.pl => t_scope_map.pl} |   12 +-
 test_regress/t/t_scope_map.v                       |   66 +
 test_regress/t/t_slice_struct_array_modport.pl     |    2 +-
 .../t/{t_gen_index.pl => t_static_elab.pl}         |    7 +-
 test_regress/t/t_static_elab.v                     |   51 +
 .../t/{t_gen_index.pl => t_struct_pat_width.pl}    |    8 +-
 test_regress/t/t_struct_pat_width.v                |   38 +
 test_regress/t/t_sys_file_basic.v                  |    8 +
 test_regress/t/t_sys_plusargs.v                    |    5 +
 test_regress/t/t_sys_readmem.v                     |   10 +
 test_regress/t/t_sys_sformat.v                     |   27 +-
 test_regress/t/t_trace_cat.cpp                     |    6 +-
 test_regress/t/t_trace_cat.out                     |    2 +-
 test_regress/t/t_trace_cat_renew.out               |    2 +-
 test_regress/t/t_trace_cat_renew_0000.out          |    2 +-
 test_regress/t/t_trace_cat_renew_0100.out          |    2 +-
 test_regress/t/t_trace_cat_reopen.out              |    2 +-
 test_regress/t/t_trace_cat_reopen_0000.out         |    2 +-
 test_regress/t/t_trace_cat_reopen_0100.out         |    2 +-
 test_regress/t/t_trace_complex.out                 |    2 +-
 test_regress/t/t_trace_complex_params.out          |    2 +-
 test_regress/t/t_trace_complex_structs.out         |    2 +-
 ..._assert_synth_full.pl => t_trace_decoration.pl} |   17 +-
 test_regress/t/t_trace_decoration.v                |   22 +
 test_regress/t/t_trace_public.out                  |    2 +-
 test_regress/t/t_trace_public_func.cpp             |    2 +-
 test_regress/t/t_trace_public_sig.cpp              |    2 +-
 test_regress/t/t_tri_select.cpp                    |    6 +-
 test_regress/t/t_typedef.v                         |    7 +
 .../t/{t_gen_index.pl => t_typedef_param.pl}       |    7 +-
 test_regress/t/{t_EXAMPLE.v => t_typedef_param.v}  |   85 +-
 test_regress/t/t_uniqueif_fail1.pl                 |    2 +-
 test_regress/t/t_uniqueif_fail2.pl                 |    2 +-
 test_regress/t/t_uniqueif_fail3.pl                 |    2 +-
 test_regress/t/t_uniqueif_fail4.pl                 |    2 +-
 ...t_tree_inl1_pub1.pl => t_unopt_array_csplit.pl} |   14 +-
 test_regress/t/t_unopt_combo_bad.pl                |    8 +-
 test_regress/t/t_unoptflat_simple_2_bad.pl         |    2 +-
 .../t/{t_gen_index.pl => t_unroll_complexcond.pl}  |    7 +-
 test_regress/t/t_unroll_complexcond.v              |   44 +
 test_regress/t/t_var_escape.out                    |    2 +-
 test_regress/t/t_var_nonamebegin.out               |    2 +-
 test_regress/t/t_var_nonamebegin.pl                |   16 +-
 .../t/{t_gen_index.pl => t_var_xref_gen.pl}        |    4 +-
 test_regress/t/t_var_xref_gen.v                    |   43 +
 test_regress/t/t_vpi_get.cpp                       |    1 -
 test_regress/t/t_vpi_get.pl                        |    2 +-
 test_regress/t/t_vpi_memory.cpp                    |    1 -
 test_regress/t/t_vpi_memory.pl                     |    2 +-
 test_regress/t/t_vpi_sc.cpp                        |   13 +
 test_regress/t/{t_vpi_unimpl.pl => t_vpi_sc.pl}    |   13 +-
 test_regress/t/t_vpi_sc.v                          |   18 +
 test_regress/t/t_vpi_unimpl.cpp                    |    5 +-
 test_regress/t/t_vpi_unimpl.pl                     |    2 +-
 test_regress/t/t_vpi_var.cpp                       |   44 +-
 test_regress/t/t_vpi_var.pl                        |    2 +-
 test_sc/Makefile                                   |    2 +-
 test_sc/Makefile_obj                               |    2 +-
 test_sc/sc_main.cpp                                |    6 +-
 test_verilated/sim_main.cpp                        |    4 +-
 test_verilated/sim_main.v                          |    2 +-
 test_verilated/vgen.pl                             |   18 +-
 test_verilated/vgen.v                              | 1837 +------
 verilator.html                                     | 5174 +++++++++-----------
 verilator.pdf                                      |  Bin 389229 -> 326013 bytes
 verilator.txt                                      |  222 +-
 497 files changed, 13637 insertions(+), 9975 deletions(-)

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/pkg-electronics/verilator.git



More information about the Pkg-electronics-commits mailing list