[Qa-jenkins-scm] Build failed in Jenkins: reproducible_builder_armhf_23 #982

jenkins at jenkins.debian.net jenkins at jenkins.debian.net
Sun Jan 24 16:35:30 UTC 2016


See <https://jenkins.debian.net/job/reproducible_builder_armhf_23/982/>

------------------------------------------
[...truncated 1660 lines...]
Paramétrage de libmpdec2:armhf (2.4.1-1) ...
Paramétrage de libunistring0:armhf (0.9.3-5.2+b1) ...
Paramétrage de mime-support (3.59) ...
Paramétrage de libffi6:armhf (3.2.1-4) ...
Paramétrage de libpython3.5-stdlib:armhf (3.5.1-5) ...
Paramétrage de python3.5 (3.5.1-5) ...
Paramétrage de libpython3-stdlib:armhf (3.5.1-1) ...
Paramétrage de libmagic1:armhf (1:5.25-2) ...
Paramétrage de file (1:5.25-2) ...
Paramétrage de gettext-base (0.19.7-2) ...
Paramétrage de libicu55:armhf (55.1-7) ...
Paramétrage de libxml2:armhf (2.9.3+dfsg1-1) ...
Paramétrage de autotools-dev (20150820.1) ...
Paramétrage de libbison-dev:armhf (2:3.0.4.dfsg-1) ...
Paramétrage de bison (2:3.0.4.dfsg-1) ...
update-alternatives: utilisation de « /usr/bin/bison.yacc » pour fournir « /usr/bin/yacc » (yacc) en mode automatique
Paramétrage de libglib2.0-0:armhf (2.46.2-3) ...
No schema files found: doing nothing.
Paramétrage de libcroco3:armhf (0.6.11-1) ...
Paramétrage de gettext (0.19.7-2) ...
Paramétrage de intltool-debian (0.35.0+20060710.4) ...
Paramétrage de po-debconf (1.0.19) ...
Paramétrage de libarchive-zip-perl (1.56-2) ...
Paramétrage de libfile-stripnondeterminism-perl (0.014-1) ...
Paramétrage de libtimedate-perl (2.3000-2) ...
Paramétrage de libffi-dev:armhf (3.2.1-4) ...
Paramétrage de libtinfo-dev:armhf (6.0+20151024-2) ...
Paramétrage de libreadline6-dev:armhf (6.3-8+b4) ...
Paramétrage de libreadline-dev:armhf (6.3-8+b4) ...
Paramétrage de libtcl8.6:armhf (8.6.4+dfsg-3) ...
Paramétrage de pkg-config (0.29-3) ...
Paramétrage de tcl8.6 (8.6.4+dfsg-3) ...
Paramétrage de tcl (8.6.0+9) ...
Paramétrage de zlib1g-dev:armhf (1:1.2.8.dfsg-2+b1) ...
Paramétrage de tcl8.6-dev:armhf (8.6.4+dfsg-3) ...
Paramétrage de tcl-dev:armhf (8.6.0+9) ...
Paramétrage de python3 (3.5.1-1) ...
Paramétrage de debhelper (9.20160115) ...
Paramétrage de dh-python (2.20151103) ...
Paramétrage de dh-strip-nondeterminism (0.014-1) ...
Traitement des actions différées (« triggers ») pour libc-bin (2.21-6) ...
Lecture des listes de paquets...
Construction de l'arbre des dépendances...
Lecture des informations d'état...
Lecture de l'information d'état étendu...
Initialisation de l'état des paquets...
Écriture de l'information d'état étendu...
Construction de la base de données des étiquettes...
 -> Finished parsing the build-deps
Lecture des listes de paquets…
Construction de l'arbre des dépendances…
Lecture des informations d'état…
fakeroot is already the newest version (1.20.2-1).
0 mis à jour, 0 nouvellement installés, 0 à enlever et 0 non mis à jour.
I: Copying source file
I: copying [yosys_0.5.0+20151007gitba4cce9-1.dsc]
I: copying [./yosys_0.5.0+20151007gitba4cce9.orig.tar.gz]
I: copying [./yosys_0.5.0+20151007gitba4cce9-1.debian.tar.xz]
I: Extracting source
gpgv: ressource de bloc de clef « /root/.gnupg/trustedkeys.gpg » : erreur d'ouverture de fichier
gpgv: Signature faite le dim 11 oct 2015 11:51:35 GMT-14 avec la clef RSA d'identifiant E02958CD
gpgv: Impossible de vérifier la signature : clef publique introuvable
dpkg-source: avertissement: impossible de vérifier la signature sur ./yosys_0.5.0+20151007gitba4cce9-1.dsc
dpkg-source: info: extraction de yosys dans yosys-0.5.0+20151007gitba4cce9
dpkg-source: info: extraction de yosys_0.5.0+20151007gitba4cce9.orig.tar.gz
dpkg-source: info: extraction de yosys_0.5.0+20151007gitba4cce9-1.debian.tar.xz
dpkg-source: info: mise en place de 01_gitrevision.patch
dpkg-source: info: mise en place de 02_removeabc.patch
dpkg-source: info: mise en place de 03_notruntests.patch
dpkg-source: info: mise en place de 04_installpath.patch
dpkg-source: info: mise en place de 05_abc_executable.patch
dpkg-source: info: mise en place de 06_cflags_ldflags.patch
I: Building the package
W: no hooks of type A found -- ignoring
I: Running cd /build/*/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent" dpkg-buildpackage -us -uc -b --buildinfo-identifier=armhf -rfakeroot
dpkg-buildpackage: paquet source yosys
dpkg-buildpackage: version source 0.5.0+20151007gitba4cce9-1
dpkg-buildpackage: distribution source experimental
dpkg-buildpackage: source changé par Ruben Undheim <ruben.undheim at gmail.com>
 dpkg-source --before-build yosys-0.5.0+20151007gitba4cce9
dpkg-buildpackage: architecture hôte armhf
 fakeroot debian/rules clean
dh clean 
   dh_testdir
   dh_auto_clean
	make -j1 clean
make[1] : on entre dans le répertoire « /build/yosys-0.5.0+20151007gitba4cce9 »
rm -rf share
if test -d manual; then cd manual && sh clean.sh; fi
find ./ -name '*.aux' | xargs -r rm -f
find ./ -name '*.bbl' | xargs -r rm -f
find ./ -name '*.blg' | xargs -r rm -f
find ./ -name '*.idx' | xargs -r rm -f
find ./ -name '*.log' | xargs -r rm -f
find ./ -name '*.out' | xargs -r rm -f
find ./ -name '*.pdf' | xargs -r rm -f
find ./ -name '*.toc' | xargs -r rm -f
find ./ -name '*.snm' | xargs -r rm -f
find ./ -name '*.nav' | xargs -r rm -f
find ./ -name '*.vrb' | xargs -r rm -f
find ./ -name '*.ok' | xargs -r rm -f
find ./PRESENTATION_ExOth/ -name '*.dot' | xargs -r rm -f
find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs -r rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs -r rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs -r rm -f
find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs -r rm -f
find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs -r rm -f
find ./CHAPTER_Prog/ -name '*.log' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs -r rm -f
find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs -r rm -f
rm -f kernel/version_ba4cce9.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/vhdl2verilog/vhdl2verilog.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/verific/verific.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/miter.o passes/sat/expose.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/opt/opt.o passes/opt/opt_share.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_const.o passes/opt/share.o passes/opt/wreduce.o passes/hierarchy/hierarchy.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/edif/edif.o backends/smt2/smt2.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_opt.o techlibs/greenpak4/synth_greenpak4.o  frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.h frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.h frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh yosys yosys-config yosys-filterlib  share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/backends/ilang/ilang_backend.h share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/drams_bb.v share/xilinx/arith_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/cells.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/gp_dff.lib  passes/techmap/filterlib.o techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk
rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]*
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
make[1] : on quitte le répertoire « /build/yosys-0.5.0+20151007gitba4cce9 »
   dh_clean
 debian/rules build
dh build 
   dh_testdir
   dh_update_autotools_config
   debian/rules override_dh_auto_configure
make[1] : on entre dans le répertoire « /build/yosys-0.5.0+20151007gitba4cce9 »
make config-gcc && make
make[2] : on entre dans le répertoire « /build/yosys-0.5.0+20151007gitba4cce9 »
rm -rf share
if test -d manual; then cd manual && sh clean.sh; fi
find ./ -name '*.aux' | xargs -r rm -f
find ./ -name '*.bbl' | xargs -r rm -f
find ./ -name '*.blg' | xargs -r rm -f
find ./ -name '*.idx' | xargs -r rm -f
find ./ -name '*.log' | xargs -r rm -f
find ./ -name '*.out' | xargs -r rm -f
find ./ -name '*.pdf' | xargs -r rm -f
find ./ -name '*.toc' | xargs -r rm -f
find ./ -name '*.snm' | xargs -r rm -f
find ./ -name '*.nav' | xargs -r rm -f
find ./ -name '*.vrb' | xargs -r rm -f
find ./ -name '*.ok' | xargs -r rm -f
find ./PRESENTATION_ExOth/ -name '*.dot' | xargs -r rm -f
find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs -r rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs -r rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs -r rm -f
find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs -r rm -f
find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs -r rm -f
find ./CHAPTER_Prog/ -name '*.log' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs -r rm -f
find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs -r rm -f
find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs -r rm -f
rm -f kernel/version_ba4cce9.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/vhdl2verilog/vhdl2verilog.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/verific/verific.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/miter.o passes/sat/expose.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/opt/opt.o passes/opt/opt_share.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_const.o passes/opt/share.o passes/opt/wreduce.o passes/hierarchy/hierarchy.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/edif/edif.o backends/smt2/smt2.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/xilinx/synth_xilinx.o techlibs/common/synth.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_opt.o techlibs/greenpak4/synth_greenpak4.o  frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.h frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.h frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh yosys yosys-config yosys-filterlib  share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/backends/ilang/ilang_backend.h share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/drams_bb.v share/xilinx/arith_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/cells.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/gp_dff.lib  passes/techmap/filterlib.o techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk
rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]*
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
echo 'CONFIG := gcc' > Makefile.conf
make[2] : on quitte le répertoire « /build/yosys-0.5.0+20151007gitba4cce9 »
make[2] : on entre dans le répertoire « /build/yosys-0.5.0+20151007gitba4cce9 »
[Makefile.conf] CONFIG := gcc
[  0%] Building kernel/version_ba4cce9.cc
[  0%] Building kernel/version_ba4cce9.o
[  1%] Building kernel/driver.o
[  1%] Building kernel/register.o
[  2%] Building kernel/rtlil.o
[  3%] Building kernel/log.o
kernel/log.cc: In function ‘void Yosys::log_backtrace(const char*, int)’:
kernel/log.cc:284:40: warning: unsupported argument to ‘__builtin_return_address’
  if ((p = __builtin_extract_return_addr(__builtin_return_address(1))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:293:40: warning: unsupported argument to ‘__builtin_return_address’
  if ((p = __builtin_extract_return_addr(__builtin_return_address(2))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:302:40: warning: unsupported argument to ‘__builtin_return_address’
  if ((p = __builtin_extract_return_addr(__builtin_return_address(3))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:311:40: warning: unsupported argument to ‘__builtin_return_address’
  if ((p = __builtin_extract_return_addr(__builtin_return_address(4))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:320:40: warning: unsupported argument to ‘__builtin_return_address’
  if ((p = __builtin_extract_return_addr(__builtin_return_address(5))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:329:40: warning: unsupported argument to ‘__builtin_return_address’
  if ((p = __builtin_extract_return_addr(__builtin_return_address(6))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:338:40: warning: unsupported argument to ‘__builtin_return_address’
  if ((p = __builtin_extract_return_addr(__builtin_return_address(7))) && dladdr(p, &dli)) {
                                        ^
kernel/log.cc:347:40: warning: unsupported argument to ‘__builtin_return_address’
  if ((p = __builtin_extract_return_addr(__builtin_return_address(8))) && dladdr(p, &dli)) {
                                        ^
[  3%] Building kernel/calc.o
[  4%] Building kernel/yosys.o
[  4%] Building kernel/cellaigs.o
[  5%] Building libs/bigint/BigIntegerAlgorithms.o
[  6%] Building libs/bigint/BigInteger.o
[  6%] Building libs/bigint/BigIntegerUtils.o
[  7%] Building libs/bigint/BigUnsigned.o
[  7%] Building libs/bigint/BigUnsignedInABase.o
[  8%] Building libs/sha1/sha1.o
[  9%] Building libs/subcircuit/subcircuit.o
[  9%] Building libs/ezsat/ezsat.o
[ 10%] Building libs/ezsat/ezminisat.o
[ 11%] Building libs/minisat/Options.o
[ 11%] Building libs/minisat/SimpSolver.o
[ 12%] Building libs/minisat/Solver.o
[ 12%] Building libs/minisat/System.o
[ 13%] Building frontends/vhdl2verilog/vhdl2verilog.o
[ 13%] Building frontends/ilang/ilang_parser.tab.cc
[ 14%] Building frontends/ilang/ilang_parser.tab.o
[ 14%] Building frontends/ilang/ilang_lexer.cc
[ 14%] Building frontends/ilang/ilang_lexer.o
[ 15%] Building frontends/ilang/ilang_frontend.o
[ 15%] Building frontends/verilog/verilog_parser.tab.cc
[ 15%] Building frontends/verilog/verilog_parser.tab.o
[ 15%] Building frontends/verilog/verilog_lexer.cc
[ 16%] Building frontends/verilog/verilog_lexer.o
[ 17%] Building frontends/verilog/preproc.o
[ 17%] Building frontends/verilog/verilog_frontend.o
[ 18%] Building frontends/verilog/const2ast.o
[ 18%] Building frontends/ast/ast.o
[ 19%] Building frontends/ast/simplify.o
[ 20%] Building frontends/ast/genrtlil.o
[ 20%] Building frontends/ast/dpicall.o
[ 21%] Building frontends/blif/blifparse.o
[ 22%] Building frontends/verific/verific.o
[ 22%] Building frontends/liberty/liberty.o
[ 23%] Building passes/tests/test_autotb.o
[ 23%] Building passes/tests/test_cell.o
[ 24%] Building passes/tests/test_abcloop.o
[ 25%] Building passes/sat/sat.o
[ 25%] Building passes/sat/freduce.o
[ 26%] Building passes/sat/eval.o
[ 26%] Building passes/sat/miter.o
[ 27%] Building passes/sat/expose.o
[ 28%] Building passes/cmds/add.o
Terminated
/srv/reproducible-results/rbuild-debian-aIn8XdYC/tmp.B97SLqQXYE:	 81.9% -- replaced with /srv/reproducible-results/rbuild-debian-aIn8XdYC/tmp.B97SLqQXYE.gz
Sun 24 Jan 16:35:30 UTC 2016 - /srv/jenkins/bin/reproducible_build.sh stopped running as /tmp/jenkins-script-eNYYD8Yh, which will now be removed.
Sun 24 Jan 16:35:30 UTC 2016 - /srv/jenkins/bin/reproducible_build.sh stopped running as /tmp/jenkins-script-eNYYD8Yh, which will now be removed.
FATAL: null
INFO: Starting at 2016-01-24 16:35:30.308870
java.lang.NullPointerException
	at hudson.plugins.build_timeout.BuildTimeoutWrapper$EnvironmentImpl.tearDown(BuildTimeoutWrapper.java:199)
	at hudson.model.Build$BuildExecution.doRun(Build.java:173)
	at hudson.model.AbstractBuild$AbstractBuildExecution.run(AbstractBuild.java:537)
	at hudson.model.Run.execute(Run.java:1741)
	at hudson.model.FreeStyleBuild.run(FreeStyleBuild.java:43)
	at hudson.model.ResourceController.execute(ResourceController.java:98)
	at hudson.model.Executor.run(Executor.java:408)



More information about the Qa-jenkins-scm mailing list