[med-svn] [jellyfish1] branch master updated (71708e3 -> c220063)

Andreas Tille tille at debian.org
Thu Jul 20 07:44:25 UTC 2017


This is an automated email from the git hooks/post-receive script.

tille pushed a change to branch master
in repository jellyfish1.

      from  71708e3   Adapt watch file to only fetch 1.x version updates
       new  97751ec   New upstream version
       new  379377e   New upstream version 1.1.11
       new  c220063   Merge tag 'upstream/1.1.11'

The 3 revisions listed above as "new" are entirely new to this
repository and will be described in separate emails.  The revisions
listed as "adds" were already present in the repository and have only
been added to this reference.


Summary of changes:
 Makefile.am                                        |   144 +-
 Makefile.in                                        |  1259 +-
 aclocal.m4                                         |   106 +-
 config.guess                                       |   449 +-
 config.h.in                                        |     9 +
 config.sub                                         |   227 +-
 configure                                          | 16036 +++++++++----------
 configure.ac                                       |    30 +-
 debian/changelog                                   |     2 +-
 depcomp                                            |   190 +-
 gtest.mk                                           |    13 +-
 install-sh                                         |    29 +-
 jellyfish-1.1.pc.in                                |     2 +-
 jellyfish/allocators_malloc.hpp                    |     2 +-
 jellyfish/allocators_mmap.hpp                      |     2 +-
 jellyfish/allocators_shm.hpp                       |     2 +-
 jellyfish/capped_integer.hpp                       |     6 +-
 jellyfish/circular_buffer.hpp                      |     2 +-
 jellyfish/cite.cc                                  |     3 +-
 jellyfish/compacted_hash.hpp                       |    88 +-
 jellyfish/concurrent_queues.hpp                    |   203 +-
 jellyfish/count_main_cmdline.hpp                   |   363 +-
 jellyfish/dbg.cc                                   |     7 +
 jellyfish/dbg.hpp                                  |     2 +-
 jellyfish/direct_indexing_array.hpp                |     6 +-
 jellyfish/direct_sorted_dumper.hpp                 |    22 +-
 jellyfish/divisor.hpp                              |   144 +-
 jellyfish/dna_codes.hpp                            |    20 +-
 jellyfish/double_fifo_input.hpp                    |     2 +-
 jellyfish/dump_main.cc                             |    53 +-
 jellyfish/dumper.hpp                               |    25 +-
 jellyfish/err.hpp                                  |    41 +-
 jellyfish/fastq_dumper.hpp                         |     2 +-
 jellyfish/file_parser.cc                           |     4 +-
 jellyfish/floats.hpp                               |    13 +-
 jellyfish/generate_sequence.cc                     |     2 +-
 jellyfish/half.h                                   |     2 +-
 jellyfish/hash.hpp                                 |    13 +-
 jellyfish/hash_fastq_merge.cc                      |     2 +-
 jellyfish/hash_merge.cc                            |     2 +-
 jellyfish/heap.hpp                                 |     4 +-
 jellyfish/invertible_hash_array.hpp                |   167 +-
 jellyfish/locking_hash_counters.hpp                |     4 +-
 jellyfish/locks_pthread.hpp                        |     6 +-
 jellyfish/mapped_file.hpp                          |     6 +-
 jellyfish/mer_counter.cc                           |    58 +-
 jellyfish/misc.cc                                  |    13 +
 jellyfish/misc.hpp                                 |     2 +
 jellyfish/offsets_key_value.hpp                    |    68 +-
 jellyfish/parse_dna.hpp                            |     2 +-
 jellyfish/parse_qual_dna.hpp                       |     4 +-
 jellyfish/parse_read.hpp                           |     8 +-
 jellyfish/randomc.h                                |     4 +-
 jellyfish/raw_dumper.hpp                           |    26 +-
 jellyfish/simple_circular_buffer.hpp               |   134 +
 jellyfish/simple_growing_array.hpp                 |     2 +-
 jellyfish/sorted_dumper.hpp                        |    43 +-
 jellyfish/square_binary_matrix.cc                  |    18 +-
 jellyfish/square_binary_matrix.hpp                 |    15 +-
 jellyfish/test_double_fifo_input.cc                |     2 +-
 jellyfish/time.hpp                                 |     6 +-
 jellyfish/yaggo.hpp                                |     4 +-
 ltmain.sh                                          |  4017 +++--
 m4/gnulib-cache.m4                                 |    35 -
 m4/libtool.m4                                      |  2248 ++-
 m4/ltoptions.m4                                    |    32 +-
 m4/ltversion.m4                                    |    12 +-
 m4/lt~obsolete.m4                                  |    12 +-
 missing                                            |    53 +-
 tests/compat.sh.in                                 |     2 +-
 tests/parallel_direct_indexing.sh                  |    23 +-
 tests/parallel_fastq_direct_indexing.sh            |    28 +
 tests/parallel_fastq_hashing.sh                    |    16 +-
 tests/parallel_hashing.sh                          |    37 +-
 .../gtest/include/gtest/internal/gtest-internal.h  |    10 +
 .../gtest/include/gtest/internal/gtest-port.h      |    10 +
 .../gtest/include/gtest/internal/gtest-string.h    |    10 +
 unit_tests/gtest/src/gtest-port.cc                 |     6 +
 unit_tests/test_offsets_key_value.cc               |   288 +-
 unit_tests/test_simple_circular_buffer.cc          |    71 +
 unit_tests/test_square_binary_matrix.cc            |   133 +
 unit_tests/unit_tests.sh                           |     2 +-
 82 files changed, 14814 insertions(+), 12356 deletions(-)
 create mode 100644 jellyfish/simple_circular_buffer.hpp
 mode change 100755 => 100644 ltmain.sh
 delete mode 100644 m4/gnulib-cache.m4
 create mode 100644 tests/parallel_fastq_direct_indexing.sh
 create mode 100644 unit_tests/test_simple_circular_buffer.cc
 create mode 100644 unit_tests/test_square_binary_matrix.cc

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-med/jellyfish1.git



More information about the debian-med-commit mailing list