Bug#1052902: yosys: FTBFS: make[2]: *** [Makefile:971: docs/gen_images] Error 2

Daniel Gröber dxld at darkboxed.org
Tue Sep 26 16:17:36 BST 2023


Hi Lucas,

yosys_0.33-5 builds on my machine. I built using gbp+sbuild but dsc hashes
match those from unstable exactly, I checked after the fact.

Log and buildinfo file attached.

--Daniel
-------------- next part --------------
sbuild (Debian sbuild) 0.85.0 (04 January 2023) on House.clients.dxld.at

+==============================================================================+
| yosys 0.33-5 (amd64)                         Tue, 26 Sep 2023 14:33:14 +0000 |
+==============================================================================+

Package: yosys
Version: 0.33-5
Source Version: 0.33-5
Distribution: unstable
Machine Architecture: amd64
Host Architecture: amd64
Build Architecture: amd64
Build Type: full

I: NOTICE: Log filtering will replace 'var/run/schroot/mount/unstable-amd64-258afce0-41e5-44e4-bd38-109383569909' with '<<CHROOT>>'

+------------------------------------------------------------------------------+
| Chroot Setup Commands                                                        |
+------------------------------------------------------------------------------+


dist=$(awk {print\ \$3\;exit} /etc/apt/sources.list); [ ! -d /srv/"$dist" ] || echo deb [trusted=yes] file:///srv $dist/ > /etc/apt/sources.list.d/dxld-localdebs.list
----------------------------------------------------------------------------------------------------------------------------------------------------------------------


I: Finished running 'dist=$(awk {print\ \$3\;exit} /etc/apt/sources.list); [ ! -d /srv/"$dist" ] || echo deb [trusted=yes] file:///srv $dist/ > /etc/apt/sources.list.d/dxld-localdebs.list'.

Finished processing commands.
--------------------------------------------------------------------------------
I: NOTICE: Log filtering will replace 'build/yosys-ogNBME/resolver-H8xEt3' with '<<RESOLVERDIR>>'

+------------------------------------------------------------------------------+
| Update chroot                                                                |
+------------------------------------------------------------------------------+

Get:1 http://deb.debian.org/debian unstable InRelease [195 kB]
Get:2 http://deb.debian.org/debian unstable/main Sources.diff/Index [63.6 kB]
Ign:2 http://deb.debian.org/debian unstable/main Sources.diff/Index
Get:3 http://deb.debian.org/debian unstable/main amd64 Packages.diff/Index [63.6 kB]
Ign:3 http://deb.debian.org/debian unstable/main amd64 Packages.diff/Index
Get:4 http://deb.debian.org/debian unstable/main Sources [10.2 MB]
Get:5 http://deb.debian.org/debian unstable/main amd64 Packages [9512 kB]
Fetched 20.0 MB in 3s (7383 kB/s)
Reading package lists...
Reading package lists...
Building dependency tree...
Reading state information...
Calculating upgrade...
The following NEW packages will be installed:
  cpp-13 g++-13 gcc-13 libgcc-13-dev libhwasan0 libsframe1 libstdc++-13-dev
  libunistring5
The following packages will be upgraded:
  apt bash binutils binutils-common binutils-x86-64-linux-gnu cpp cpp-12
  debianutils dpkg dpkg-dev e2fsprogs g++ g++-12 gcc gcc-12 gcc-12-base
  gcc-13-base libapt-pkg6.0 libasan8 libatomic1 libbinutils libc-bin
  libc-dev-bin libc6 libc6-dev libcc1-0 libcom-err2 libctf-nobfd0 libctf0
  libdebconfclient0 libdpkg-perl libext2fs2 libgcc-12-dev libgcc-s1
  libgcrypt20 libgnutls30 libgomp1 libgprofng0 libgssapi-krb5-2 libidn2-0
  libitm1 libk5crypto3 libkrb5-3 libkrb5support0 liblsan0 liblzma5 libmpfr6
  libpcre2-8-0 libperl5.36 libquadmath0 libss2 libssl3 libstdc++-12-dev
  libstdc++6 libsystemd0 libtsan2 libubsan1 libudev1 libxxhash0 libzstd1
  linux-libc-dev logsave perl perl-base perl-modules-5.36 sysvinit-utils
  usr-is-merged xz-utils
68 upgraded, 8 newly installed, 0 to remove and 0 not upgraded.
Need to get 138 MB of archives.
After this operation, 170 MB of additional disk space will be used.
Get:1 http://deb.debian.org/debian unstable/main amd64 debianutils amd64 5.13 [104 kB]
Get:2 http://deb.debian.org/debian unstable/main amd64 bash amd64 5.2.15-2+b5 [1492 kB]
Get:3 http://deb.debian.org/debian unstable/main amd64 libc6-dev amd64 2.37-10 [1902 kB]
Get:4 http://deb.debian.org/debian unstable/main amd64 libc-dev-bin amd64 2.37-10 [46.1 kB]
Get:5 http://deb.debian.org/debian unstable/main amd64 linux-libc-dev amd64 6.5.3-1 [1985 kB]
Get:6 http://deb.debian.org/debian unstable/main amd64 libasan8 amd64 13.2.0-4 [2558 kB]
Get:7 http://deb.debian.org/debian unstable/main amd64 libubsan1 amd64 13.2.0-4 [1010 kB]
Get:8 http://deb.debian.org/debian unstable/main amd64 libsframe1 amd64 2.41-5 [75.1 kB]
Get:9 http://deb.debian.org/debian unstable/main amd64 libgprofng0 amd64 2.41-5 [766 kB]
Get:10 http://deb.debian.org/debian unstable/main amd64 libctf0 amd64 2.41-5 [87.0 kB]
Get:11 http://deb.debian.org/debian unstable/main amd64 libctf-nobfd0 amd64 2.41-5 [151 kB]
Get:12 http://deb.debian.org/debian unstable/main amd64 binutils-x86-64-linux-gnu amd64 2.41-5 [2218 kB]
Get:13 http://deb.debian.org/debian unstable/main amd64 libbinutils amd64 2.41-5 [512 kB]
Get:14 http://deb.debian.org/debian unstable/main amd64 binutils-common amd64 2.41-5 [2504 kB]
Get:15 http://deb.debian.org/debian unstable/main amd64 binutils amd64 2.41-5 [80.0 kB]
Get:16 http://deb.debian.org/debian unstable/main amd64 libstdc++-12-dev amd64 12.3.0-9 [2067 kB]
Get:17 http://deb.debian.org/debian unstable/main amd64 g++-12 amd64 12.3.0-9 [10.8 MB]
Get:18 http://deb.debian.org/debian unstable/main amd64 gcc-12 amd64 12.3.0-9 [19.5 MB]
Get:19 http://deb.debian.org/debian unstable/main amd64 cpp-12 amd64 12.3.0-9 [9859 kB]
Get:20 http://deb.debian.org/debian unstable/main amd64 gcc-12-base amd64 12.3.0-9 [40.2 kB]
Get:21 http://deb.debian.org/debian unstable/main amd64 libgcc-12-dev amd64 12.3.0-9 [2433 kB]
Get:22 http://deb.debian.org/debian unstable/main amd64 libtsan2 amd64 13.2.0-4 [2334 kB]
Get:23 http://deb.debian.org/debian unstable/main amd64 libquadmath0 amd64 13.2.0-4 [145 kB]
Get:24 http://deb.debian.org/debian unstable/main amd64 liblsan0 amd64 13.2.0-4 [1102 kB]
Get:25 http://deb.debian.org/debian unstable/main amd64 libitm1 amd64 13.2.0-4 [26.1 kB]
Get:26 http://deb.debian.org/debian unstable/main amd64 libgomp1 amd64 13.2.0-4 [131 kB]
Get:27 http://deb.debian.org/debian unstable/main amd64 gcc-13-base amd64 13.2.0-4 [39.8 kB]
Get:28 http://deb.debian.org/debian unstable/main amd64 libgcc-s1 amd64 13.2.0-4 [57.7 kB]
Get:29 http://deb.debian.org/debian unstable/main amd64 libcc1-0 amd64 13.2.0-4 [42.9 kB]
Get:30 http://deb.debian.org/debian unstable/main amd64 libatomic1 amd64 13.2.0-4 [9272 B]
Get:31 http://deb.debian.org/debian unstable/main amd64 libstdc++6 amd64 13.2.0-4 [694 kB]
Get:32 http://deb.debian.org/debian unstable/main amd64 libzstd1 amd64 1.5.5+dfsg2-2 [295 kB]
Get:33 http://deb.debian.org/debian unstable/main amd64 libmpfr6 amd64 4.2.1-1 [713 kB]
Get:34 http://deb.debian.org/debian unstable/main amd64 libc6 amd64 2.37-10 [2755 kB]
Get:35 http://deb.debian.org/debian unstable/main amd64 libgcrypt20 amd64 1.10.2-3 [704 kB]
Get:36 http://deb.debian.org/debian unstable/main amd64 liblzma5 amd64 5.4.4-0.1 [229 kB]
Get:37 http://deb.debian.org/debian unstable/main amd64 libsystemd0 amd64 254.4-1 [357 kB]
Get:38 http://deb.debian.org/debian unstable/main amd64 libudev1 amd64 254.4-1 [120 kB]
Get:39 http://deb.debian.org/debian unstable/main amd64 libxxhash0 amd64 0.8.2-2 [26.4 kB]
Get:40 http://deb.debian.org/debian unstable/main amd64 libapt-pkg6.0 amd64 2.7.6 [949 kB]
Get:41 http://deb.debian.org/debian unstable/main amd64 dpkg amd64 1.22.0 [1569 kB]
Get:42 http://deb.debian.org/debian unstable/main amd64 libperl5.36 amd64 5.36.0-9 [4206 kB]
Get:43 http://deb.debian.org/debian unstable/main amd64 perl amd64 5.36.0-9 [239 kB]
Get:44 http://deb.debian.org/debian unstable/main amd64 perl-base amd64 5.36.0-9 [1602 kB]
Get:45 http://deb.debian.org/debian unstable/main amd64 perl-modules-5.36 all 5.36.0-9 [2815 kB]
Get:46 http://deb.debian.org/debian unstable/main amd64 libunistring5 amd64 1.1-2 [421 kB]
Get:47 http://deb.debian.org/debian unstable/main amd64 libidn2-0 amd64 2.3.4-1+b1 [125 kB]
Get:48 http://deb.debian.org/debian unstable/main amd64 libgnutls30 amd64 3.8.1-4+b1 [1418 kB]
Get:49 http://deb.debian.org/debian unstable/main amd64 apt amd64 2.7.6 [1363 kB]
Get:50 http://deb.debian.org/debian unstable/main amd64 libc-bin amd64 2.37-10 [601 kB]
Get:51 http://deb.debian.org/debian unstable/main amd64 sysvinit-utils amd64 3.08-1 [31.7 kB]
Get:52 http://deb.debian.org/debian unstable/main amd64 logsave amd64 1.47.0-2+b1 [19.9 kB]
Get:53 http://deb.debian.org/debian unstable/main amd64 libext2fs2 amd64 1.47.0-2+b1 [205 kB]
Get:54 http://deb.debian.org/debian unstable/main amd64 e2fsprogs amd64 1.47.0-2+b1 [572 kB]
Get:55 http://deb.debian.org/debian unstable/main amd64 libdebconfclient0 amd64 0.271 [9940 B]
Get:56 http://deb.debian.org/debian unstable/main amd64 libpcre2-8-0 amd64 10.42-4 [261 kB]
Get:57 http://deb.debian.org/debian unstable/main amd64 usr-is-merged all 37 [5560 B]
Get:58 http://deb.debian.org/debian unstable/main amd64 xz-utils amd64 5.4.4-0.1 [493 kB]
Get:59 http://deb.debian.org/debian unstable/main amd64 cpp-13 amd64 13.2.0-4 [9911 kB]
Get:60 http://deb.debian.org/debian unstable/main amd64 libhwasan0 amd64 13.2.0-4 [1154 kB]
Get:61 http://deb.debian.org/debian unstable/main amd64 libgcc-13-dev amd64 13.2.0-4 [2543 kB]
Get:62 http://deb.debian.org/debian unstable/main amd64 gcc-13 amd64 13.2.0-4 [19.6 MB]
Get:63 http://deb.debian.org/debian unstable/main amd64 g++ amd64 4:13.2.0-1 [1356 B]
Get:64 http://deb.debian.org/debian unstable/main amd64 gcc amd64 4:13.2.0-1 [5224 B]
Get:65 http://deb.debian.org/debian unstable/main amd64 libstdc++-13-dev amd64 13.2.0-4 [2222 kB]
Get:66 http://deb.debian.org/debian unstable/main amd64 g++-13 amd64 13.2.0-4 [10.9 MB]
Get:67 http://deb.debian.org/debian unstable/main amd64 cpp amd64 4:13.2.0-1 [6992 B]
Get:68 http://deb.debian.org/debian unstable/main amd64 dpkg-dev all 1.22.0 [1379 kB]
Get:69 http://deb.debian.org/debian unstable/main amd64 libdpkg-perl all 1.22.0 [641 kB]
Get:70 http://deb.debian.org/debian unstable/main amd64 libcom-err2 amd64 1.47.0-2+b1 [20.1 kB]
Get:71 http://deb.debian.org/debian unstable/main amd64 libgssapi-krb5-2 amd64 1.20.1-4 [134 kB]
Get:72 http://deb.debian.org/debian unstable/main amd64 libkrb5-3 amd64 1.20.1-4 [332 kB]
Get:73 http://deb.debian.org/debian unstable/main amd64 libk5crypto3 amd64 1.20.1-4 [79.4 kB]
Get:74 http://deb.debian.org/debian unstable/main amd64 libkrb5support0 amd64 1.20.1-4 [32.8 kB]
Get:75 http://deb.debian.org/debian unstable/main amd64 libssl3 amd64 3.0.11-1 [2016 kB]
Get:76 http://deb.debian.org/debian unstable/main amd64 libss2 amd64 1.47.0-2+b1 [24.8 kB]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 138 MB in 8s (16.8 MB/s)
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11463 files and directories currently installed.)
Preparing to unpack .../debianutils_5.13_amd64.deb ...
Unpacking debianutils (5.13) over (5.8-1) ...
Setting up debianutils (5.13) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11463 files and directories currently installed.)
Preparing to unpack .../bash_5.2.15-2+b5_amd64.deb ...
Unpacking bash (5.2.15-2+b5) over (5.2.15-2+b4) ...
Setting up bash (5.2.15-2+b5) ...
update-alternatives: using /usr/share/man/man7/bash-builtins.7.gz to provide /usr/share/man/man7/builtins.7.gz (builtins.7.gz) in auto mode
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11463 files and directories currently installed.)
Preparing to unpack .../00-libc6-dev_2.37-10_amd64.deb ...
Unpacking libc6-dev:amd64 (2.37-10) over (2.37-7) ...
Preparing to unpack .../01-libc-dev-bin_2.37-10_amd64.deb ...
Unpacking libc-dev-bin (2.37-10) over (2.37-7) ...
Preparing to unpack .../02-linux-libc-dev_6.5.3-1_amd64.deb ...
Unpacking linux-libc-dev:amd64 (6.5.3-1) over (6.4.11-1) ...
Preparing to unpack .../03-libasan8_13.2.0-4_amd64.deb ...
Unpacking libasan8:amd64 (13.2.0-4) over (13.2.0-2) ...
Preparing to unpack .../04-libubsan1_13.2.0-4_amd64.deb ...
Unpacking libubsan1:amd64 (13.2.0-4) over (13.2.0-2) ...
Selecting previously unselected package libsframe1:amd64.
Preparing to unpack .../05-libsframe1_2.41-5_amd64.deb ...
Unpacking libsframe1:amd64 (2.41-5) ...
Preparing to unpack .../06-libgprofng0_2.41-5_amd64.deb ...
Unpacking libgprofng0:amd64 (2.41-5) over (2.40.50.20230622-1) ...
Preparing to unpack .../07-libctf0_2.41-5_amd64.deb ...
Unpacking libctf0:amd64 (2.41-5) over (2.40.50.20230622-1) ...
Preparing to unpack .../08-libctf-nobfd0_2.41-5_amd64.deb ...
Unpacking libctf-nobfd0:amd64 (2.41-5) over (2.41-4) ...
Preparing to unpack .../09-binutils-x86-64-linux-gnu_2.41-5_amd64.deb ...
Unpacking binutils-x86-64-linux-gnu (2.41-5) over (2.40.50.20230622-1) ...
Preparing to unpack .../10-libbinutils_2.41-5_amd64.deb ...
Unpacking libbinutils:amd64 (2.41-5) over (2.40.50.20230622-1) ...
Preparing to unpack .../11-binutils-common_2.41-5_amd64.deb ...
Unpacking binutils-common:amd64 (2.41-5) over (2.40.50.20230622-1) ...
Preparing to unpack .../12-binutils_2.41-5_amd64.deb ...
Unpacking binutils (2.41-5) over (2.40.50.20230622-1) ...
Preparing to unpack .../13-libstdc++-12-dev_12.3.0-9_amd64.deb ...
Unpacking libstdc++-12-dev:amd64 (12.3.0-9) over (12.3.0-4) ...
Preparing to unpack .../14-g++-12_12.3.0-9_amd64.deb ...
Unpacking g++-12 (12.3.0-9) over (12.3.0-4) ...
Preparing to unpack .../15-gcc-12_12.3.0-9_amd64.deb ...
Unpacking gcc-12 (12.3.0-9) over (12.3.0-4) ...
Preparing to unpack .../16-cpp-12_12.3.0-9_amd64.deb ...
Unpacking cpp-12 (12.3.0-9) over (12.3.0-4) ...
Preparing to unpack .../17-gcc-12-base_12.3.0-9_amd64.deb ...
Unpacking gcc-12-base:amd64 (12.3.0-9) over (12.3.0-4) ...
Preparing to unpack .../18-libgcc-12-dev_12.3.0-9_amd64.deb ...
Unpacking libgcc-12-dev:amd64 (12.3.0-9) over (12.3.0-4) ...
Preparing to unpack .../19-libtsan2_13.2.0-4_amd64.deb ...
Unpacking libtsan2:amd64 (13.2.0-4) over (13.2.0-2) ...
Preparing to unpack .../20-libquadmath0_13.2.0-4_amd64.deb ...
Unpacking libquadmath0:amd64 (13.2.0-4) over (13.2.0-2) ...
Preparing to unpack .../21-liblsan0_13.2.0-4_amd64.deb ...
Unpacking liblsan0:amd64 (13.2.0-4) over (13.2.0-2) ...
Preparing to unpack .../22-libitm1_13.2.0-4_amd64.deb ...
Unpacking libitm1:amd64 (13.2.0-4) over (13.2.0-2) ...
Preparing to unpack .../23-libgomp1_13.2.0-4_amd64.deb ...
Unpacking libgomp1:amd64 (13.2.0-4) over (13.2.0-2) ...
Preparing to unpack .../24-gcc-13-base_13.2.0-4_amd64.deb ...
Unpacking gcc-13-base:amd64 (13.2.0-4) over (13.2.0-2) ...
Setting up gcc-13-base:amd64 (13.2.0-4) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11506 files and directories currently installed.)
Preparing to unpack .../libgcc-s1_13.2.0-4_amd64.deb ...
Unpacking libgcc-s1:amd64 (13.2.0-4) over (13.2.0-2) ...
Setting up libgcc-s1:amd64 (13.2.0-4) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11506 files and directories currently installed.)
Preparing to unpack .../libcc1-0_13.2.0-4_amd64.deb ...
Unpacking libcc1-0:amd64 (13.2.0-4) over (13.2.0-2) ...
Preparing to unpack .../libatomic1_13.2.0-4_amd64.deb ...
Unpacking libatomic1:amd64 (13.2.0-4) over (13.2.0-2) ...
Preparing to unpack .../libstdc++6_13.2.0-4_amd64.deb ...
Unpacking libstdc++6:amd64 (13.2.0-4) over (13.2.0-2) ...
Setting up libstdc++6:amd64 (13.2.0-4) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11506 files and directories currently installed.)
Preparing to unpack .../libzstd1_1.5.5+dfsg2-2_amd64.deb ...
Unpacking libzstd1:amd64 (1.5.5+dfsg2-2) over (1.5.5+dfsg2-1) ...
Setting up libzstd1:amd64 (1.5.5+dfsg2-2) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11506 files and directories currently installed.)
Preparing to unpack .../libmpfr6_4.2.1-1_amd64.deb ...
Unpacking libmpfr6:amd64 (4.2.1-1) over (4.2.0-1) ...
Preparing to unpack .../libc6_2.37-10_amd64.deb ...
Unpacking libc6:amd64 (2.37-10) over (2.37-7) ...
Setting up libc6:amd64 (2.37-10) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11506 files and directories currently installed.)
Preparing to unpack .../libgcrypt20_1.10.2-3_amd64.deb ...
Unpacking libgcrypt20:amd64 (1.10.2-3) over (1.10.2-2) ...
Setting up libgcrypt20:amd64 (1.10.2-3) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11506 files and directories currently installed.)
Preparing to unpack .../liblzma5_5.4.4-0.1_amd64.deb ...
Unpacking liblzma5:amd64 (5.4.4-0.1) over (5.4.1-0.2) ...
Setting up liblzma5:amd64 (5.4.4-0.1) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11506 files and directories currently installed.)
Preparing to unpack .../libsystemd0_254.4-1_amd64.deb ...
Unpacking libsystemd0:amd64 (254.4-1) over (254.1-3) ...
Setting up libsystemd0:amd64 (254.4-1) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11506 files and directories currently installed.)
Preparing to unpack .../libudev1_254.4-1_amd64.deb ...
Unpacking libudev1:amd64 (254.4-1) over (254.1-3) ...
Setting up libudev1:amd64 (254.4-1) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11506 files and directories currently installed.)
Preparing to unpack .../libxxhash0_0.8.2-2_amd64.deb ...
Unpacking libxxhash0:amd64 (0.8.2-2) over (0.8.1-1) ...
Setting up libxxhash0:amd64 (0.8.2-2) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11506 files and directories currently installed.)
Preparing to unpack .../libapt-pkg6.0_2.7.6_amd64.deb ...
Unpacking libapt-pkg6.0:amd64 (2.7.6) over (2.7.3) ...
Setting up libapt-pkg6.0:amd64 (2.7.6) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11506 files and directories currently installed.)
Preparing to unpack .../archives/dpkg_1.22.0_amd64.deb ...
Unpacking dpkg (1.22.0) over (1.21.22) ...
Setting up dpkg (1.22.0) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11503 files and directories currently installed.)
Preparing to unpack .../libperl5.36_5.36.0-9_amd64.deb ...
Unpacking libperl5.36:amd64 (5.36.0-9) over (5.36.0-8) ...
Preparing to unpack .../perl_5.36.0-9_amd64.deb ...
Unpacking perl (5.36.0-9) over (5.36.0-8) ...
Preparing to unpack .../perl-base_5.36.0-9_amd64.deb ...
Unpacking perl-base (5.36.0-9) over (5.36.0-8) ...
Setting up perl-base (5.36.0-9) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11503 files and directories currently installed.)
Preparing to unpack .../perl-modules-5.36_5.36.0-9_all.deb ...
Unpacking perl-modules-5.36 (5.36.0-9) over (5.36.0-8) ...
Selecting previously unselected package libunistring5:amd64.
Preparing to unpack .../libunistring5_1.1-2_amd64.deb ...
Unpacking libunistring5:amd64 (1.1-2) ...
Setting up libunistring5:amd64 (1.1-2) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11509 files and directories currently installed.)
Preparing to unpack .../libidn2-0_2.3.4-1+b1_amd64.deb ...
Unpacking libidn2-0:amd64 (2.3.4-1+b1) over (2.3.4-1) ...
Setting up libidn2-0:amd64 (2.3.4-1+b1) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11510 files and directories currently installed.)
Preparing to unpack .../libgnutls30_3.8.1-4+b1_amd64.deb ...
Unpacking libgnutls30:amd64 (3.8.1-4+b1) over (3.8.1-4) ...
Setting up libgnutls30:amd64 (3.8.1-4+b1) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11511 files and directories currently installed.)
Preparing to unpack .../archives/apt_2.7.6_amd64.deb ...
Unpacking apt (2.7.6) over (2.7.3) ...
Setting up apt (2.7.6) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11511 files and directories currently installed.)
Preparing to unpack .../libc-bin_2.37-10_amd64.deb ...
Unpacking libc-bin (2.37-10) over (2.37-7) ...
Setting up libc-bin (2.37-10) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11511 files and directories currently installed.)
Preparing to unpack .../sysvinit-utils_3.08-1_amd64.deb ...
Unpacking sysvinit-utils (3.08-1) over (3.07-1) ...
Setting up sysvinit-utils (3.08-1) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11511 files and directories currently installed.)
Preparing to unpack .../logsave_1.47.0-2+b1_amd64.deb ...
Unpacking logsave (1.47.0-2+b1) over (1.47.0-2) ...
Preparing to unpack .../libext2fs2_1.47.0-2+b1_amd64.deb ...
Unpacking libext2fs2:amd64 (1.47.0-2+b1) over (1.47.0-2) ...
Setting up libext2fs2:amd64 (1.47.0-2+b1) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11513 files and directories currently installed.)
Preparing to unpack .../e2fsprogs_1.47.0-2+b1_amd64.deb ...
Unpacking e2fsprogs (1.47.0-2+b1) over (1.47.0-2) ...
Preparing to unpack .../libdebconfclient0_0.271_amd64.deb ...
Unpacking libdebconfclient0:amd64 (0.271) over (0.270) ...
Setting up libdebconfclient0:amd64 (0.271) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11514 files and directories currently installed.)
Preparing to unpack .../libpcre2-8-0_10.42-4_amd64.deb ...
Unpacking libpcre2-8-0:amd64 (10.42-4) over (10.42-3) ...
Setting up libpcre2-8-0:amd64 (10.42-4) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11514 files and directories currently installed.)
Preparing to unpack .../usr-is-merged_37_all.deb ...
W: /etc/unsupported-skip-usrmerge-conversion exists.
Unpacking usr-is-merged (37) over (35) ...
Setting up usr-is-merged (37) ...
(Reading database ... 
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 11514 files and directories currently installed.)
Preparing to unpack .../00-xz-utils_5.4.4-0.1_amd64.deb ...
Unpacking xz-utils (5.4.4-0.1) over (5.4.1-0.2) ...
Selecting previously unselected package cpp-13.
Preparing to unpack .../01-cpp-13_13.2.0-4_amd64.deb ...
Unpacking cpp-13 (13.2.0-4) ...
Selecting previously unselected package libhwasan0:amd64.
Preparing to unpack .../02-libhwasan0_13.2.0-4_amd64.deb ...
Unpacking libhwasan0:amd64 (13.2.0-4) ...
Selecting previously unselected package libgcc-13-dev:amd64.
Preparing to unpack .../03-libgcc-13-dev_13.2.0-4_amd64.deb ...
Unpacking libgcc-13-dev:amd64 (13.2.0-4) ...
Selecting previously unselected package gcc-13.
Preparing to unpack .../04-gcc-13_13.2.0-4_amd64.deb ...
Unpacking gcc-13 (13.2.0-4) ...
Preparing to unpack .../05-g++_4%3a13.2.0-1_amd64.deb ...
Unpacking g++ (4:13.2.0-1) over (4:12.3.0-1) ...
Preparing to unpack .../06-gcc_4%3a13.2.0-1_amd64.deb ...
Unpacking gcc (4:13.2.0-1) over (4:12.3.0-1) ...
Selecting previously unselected package libstdc++-13-dev:amd64.
Preparing to unpack .../07-libstdc++-13-dev_13.2.0-4_amd64.deb ...
Unpacking libstdc++-13-dev:amd64 (13.2.0-4) ...
Selecting previously unselected package g++-13.
Preparing to unpack .../08-g++-13_13.2.0-4_amd64.deb ...
Unpacking g++-13 (13.2.0-4) ...
Preparing to unpack .../09-cpp_4%3a13.2.0-1_amd64.deb ...
Unpacking cpp (4:13.2.0-1) over (4:12.3.0-1) ...
Preparing to unpack .../10-dpkg-dev_1.22.0_all.deb ...
Unpacking dpkg-dev (1.22.0) over (1.21.22) ...
Preparing to unpack .../11-libdpkg-perl_1.22.0_all.deb ...
Unpacking libdpkg-perl (1.22.0) over (1.21.22) ...
Preparing to unpack .../12-libcom-err2_1.47.0-2+b1_amd64.deb ...
Unpacking libcom-err2:amd64 (1.47.0-2+b1) over (1.47.0-2) ...
Preparing to unpack .../13-libgssapi-krb5-2_1.20.1-4_amd64.deb ...
Unpacking libgssapi-krb5-2:amd64 (1.20.1-4) over (1.20.1-3) ...
Preparing to unpack .../14-libkrb5-3_1.20.1-4_amd64.deb ...
Unpacking libkrb5-3:amd64 (1.20.1-4) over (1.20.1-3) ...
Preparing to unpack .../15-libk5crypto3_1.20.1-4_amd64.deb ...
Unpacking libk5crypto3:amd64 (1.20.1-4) over (1.20.1-3) ...
Preparing to unpack .../16-libkrb5support0_1.20.1-4_amd64.deb ...
Unpacking libkrb5support0:amd64 (1.20.1-4) over (1.20.1-3) ...
Preparing to unpack .../17-libssl3_3.0.11-1_amd64.deb ...
Unpacking libssl3:amd64 (3.0.11-1) over (3.0.10-1) ...
Preparing to unpack .../18-libss2_1.47.0-2+b1_amd64.deb ...
Unpacking libss2:amd64 (1.47.0-2+b1) over (1.47.0-2) ...
Setting up binutils-common:amd64 (2.41-5) ...
Setting up libssl3:amd64 (3.0.11-1) ...
Setting up linux-libc-dev:amd64 (6.5.3-1) ...
Setting up libctf-nobfd0:amd64 (2.41-5) ...
Setting up libcom-err2:amd64 (1.47.0-2+b1) ...
Setting up libgomp1:amd64 (13.2.0-4) ...
Setting up libsframe1:amd64 (2.41-5) ...
Setting up libkrb5support0:amd64 (1.20.1-4) ...
Setting up gcc-12-base:amd64 (12.3.0-9) ...
Setting up perl-modules-5.36 (5.36.0-9) ...
Setting up libmpfr6:amd64 (4.2.1-1) ...
Setting up xz-utils (5.4.4-0.1) ...
Setting up libquadmath0:amd64 (13.2.0-4) ...
Setting up libatomic1:amd64 (13.2.0-4) ...
Setting up libss2:amd64 (1.47.0-2+b1) ...
Setting up libk5crypto3:amd64 (1.20.1-4) ...
Setting up logsave (1.47.0-2+b1) ...
Setting up libubsan1:amd64 (13.2.0-4) ...
Setting up libhwasan0:amd64 (13.2.0-4) ...
Setting up libasan8:amd64 (13.2.0-4) ...
Setting up libkrb5-3:amd64 (1.20.1-4) ...
Setting up libtsan2:amd64 (13.2.0-4) ...
Setting up libbinutils:amd64 (2.41-5) ...
Setting up libc-dev-bin (2.37-10) ...
Setting up libcc1-0:amd64 (13.2.0-4) ...
Setting up libperl5.36:amd64 (5.36.0-9) ...
Setting up liblsan0:amd64 (13.2.0-4) ...
Setting up libitm1:amd64 (13.2.0-4) ...
Setting up libctf0:amd64 (2.41-5) ...
Setting up cpp-12 (12.3.0-9) ...
Setting up cpp-13 (13.2.0-4) ...
Setting up e2fsprogs (1.47.0-2+b1) ...
Setting up perl (5.36.0-9) ...
Setting up libgprofng0:amd64 (2.41-5) ...
Setting up libgcc-12-dev:amd64 (12.3.0-9) ...
Setting up libgssapi-krb5-2:amd64 (1.20.1-4) ...
Setting up libdpkg-perl (1.22.0) ...
Setting up libgcc-13-dev:amd64 (13.2.0-4) ...
Setting up cpp (4:13.2.0-1) ...
Setting up libc6-dev:amd64 (2.37-10) ...
Setting up libstdc++-13-dev:amd64 (13.2.0-4) ...
Setting up binutils-x86-64-linux-gnu (2.41-5) ...
Setting up libstdc++-12-dev:amd64 (12.3.0-9) ...
Setting up binutils (2.41-5) ...
Setting up dpkg-dev (1.22.0) ...
Setting up gcc-12 (12.3.0-9) ...
Setting up gcc-13 (13.2.0-4) ...
Setting up g++-12 (12.3.0-9) ...
Setting up g++-13 (13.2.0-4) ...
Setting up gcc (4:13.2.0-1) ...
Setting up g++ (4:13.2.0-1) ...
Processing triggers for libc-bin (2.37-10) ...
Processing triggers for debianutils (5.13) ...

+------------------------------------------------------------------------------+
| Fetch source files                                                           |
+------------------------------------------------------------------------------+


Local sources
-------------

/home/dxld/share/dev/deb/pkg/yosys_0.33-5.dsc exists in /home/dxld/share/dev/deb/pkg; copying to chroot
I: NOTICE: Log filtering will replace 'build/yosys-ogNBME/yosys-0.33' with '<<PKGBUILDDIR>>'
I: NOTICE: Log filtering will replace 'build/yosys-ogNBME' with '<<BUILDDIR>>'

+------------------------------------------------------------------------------+
| Install package build dependencies                                           |
+------------------------------------------------------------------------------+


Setup apt archive
-----------------

Merged Build-Depends: debhelper-compat (= 13), dh-python, tcl-dev, libreadline-dev, libbz2-dev, zlib1g-dev, bison, flex, gawk, git, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, build-essential, fakeroot, texlive-base, texlive-plain-generic, texlive-fonts-recommended, texlive-fonts-extra, texlive-latex-base, texlive-latex-extra, texlive-font-utils, texlive-science, texlive-publishers, texlive-bibtex-extra, tex-gyre, latexmk, lmodern, graphviz, pdf2svg, python3-sphinx, python3-sphinx-press-theme, python3-sphinxcontrib.bibtex
Filtered Build-Depends: debhelper-compat (= 13), dh-python, tcl-dev, libreadline-dev, libbz2-dev, zlib1g-dev, bison, flex, gawk, git, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, build-essential, fakeroot, texlive-base, texlive-plain-generic, texlive-fonts-recommended, texlive-fonts-extra, texlive-latex-base, texlive-latex-extra, texlive-font-utils, texlive-science, texlive-publishers, texlive-bibtex-extra, tex-gyre, latexmk, lmodern, graphviz, pdf2svg, python3-sphinx, python3-sphinx-press-theme, python3-sphinxcontrib.bibtex
dpkg-deb: building package 'sbuild-build-depends-main-dummy' in '/<<RESOLVERDIR>>/apt_archive/sbuild-build-depends-main-dummy.deb'.
Ign:1 copy:/<<RESOLVERDIR>>/apt_archive ./ InRelease
Get:2 copy:/<<RESOLVERDIR>>/apt_archive ./ Release [615 B]
Ign:3 copy:/<<RESOLVERDIR>>/apt_archive ./ Release.gpg
Get:4 copy:/<<RESOLVERDIR>>/apt_archive ./ Sources [1110 B]
Get:5 copy:/<<RESOLVERDIR>>/apt_archive ./ Packages [1123 B]
Fetched 2848 B in 0s (0 B/s)
Reading package lists...
Reading package lists...

Install main build dependencies (apt-based resolver)
----------------------------------------------------

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following additional packages will be installed:
  autoconf automake autopoint autotools-dev bison bsdextrautils
  ca-certificates debhelper dh-autoreconf dh-python dh-strip-nondeterminism
  docutils-common dwz file flex fontconfig fontconfig-config fonts-dejavu-core
  fonts-dejavu-mono fonts-gfs-baskerville fonts-gfs-porson fonts-lmodern gawk
  gettext gettext-base git git-man graphviz groff-base intltool-debian
  iverilog latexmk libabsl20220623 libann0 libaom3 libapache-pom-java
  libarchive-zip-perl libavif15 libbrotli1 libbsd0 libbz2-dev libcairo2
  libcdt5 libcgraph6 libcommons-logging-java libcommons-parent-java
  libcurl3-gnutls libdatrie1 libdav1d6 libde265-0 libdebhelper-perl
  libdeflate0 libelf1 liberror-perl libexpat1 libffi-dev
  libfile-stripnondeterminism-perl libfontbox-java libfontconfig1 libfontenc1
  libfreetype6 libfribidi0 libgav1-1 libgd3 libglib2.0-0 libgraphite2-3
  libgts-0.7-5 libgvc6 libgvpr2 libharfbuzz0b libheif-plugin-dav1d
  libheif-plugin-libde265 libheif1 libice6 libicu72 libjbig0 libjpeg62-turbo
  libjs-jquery libjs-sphinxdoc libjs-underscore libjson-perl libkpathsea6
  liblab-gamut1 liblcms2-2 libldap-2.5-0 liblerc4 libltdl7 libmagic-mgc
  libmagic1 libncurses-dev libncurses6 libncursesw6 libnghttp2-14 libnspr4
  libnss3 libopenjp2-7 libpango-1.0-0 libpangocairo-1.0-0 libpangoft2-1.0-0
  libpaper-utils libpaper1 libpathplan4 libpdfbox-java libpipeline1
  libpixman-1-0 libpkgconf3 libpng16-16 libpoppler-glib8 libpoppler126
  libpotrace0 libpsl5 libptexenc1 libpython3-stdlib libpython3.11-minimal
  libpython3.11-stdlib librav1e0 libreadline-dev libreadline8 librtmp1
  libsasl2-2 libsasl2-modules-db libsharpyuv0 libsigsegv2 libsm6 libsqlite3-0
  libssh2-1 libsub-override-perl libsvtav1enc1d1 libsynctex2 libtcl8.6
  libteckit0 libtexlua53-5 libthai-data libthai0 libtiff6 libtool libuchardet0
  libwebp7 libx11-6 libx11-data libxau6 libxaw7 libxcb-render0 libxcb-shm0
  libxcb1 libxdmcp6 libxext6 libxi6 libxml2 libxmu6 libxpm4 libxrender1 libxt6
  libyaml-0-2 libyuv0 libzzip-0-13 lmodern m4 man-db media-types openssl
  pdf2svg pkg-config pkgconf pkgconf-bin po-debconf preview-latex-style
  python-babel-localedata python3 python3-alabaster python3-babel
  python3-certifi python3-chardet python3-charset-normalizer python3-distutils
  python3-docutils python3-idna python3-imagesize python3-jinja2
  python3-latexcodec python3-lib2to3 python3-markupsafe python3-minimal
  python3-packaging python3-pkg-resources python3-pybtex
  python3-pybtex-docutils python3-pygments python3-requests python3-roman
  python3-six python3-snowballstemmer python3-sphinx
  python3-sphinx-press-theme python3-sphinxcontrib.bibtex python3-tz
  python3-urllib3 python3-yaml python3.11 python3.11-minimal readline-common
  sensible-utils sgml-base sphinx-common t1utils tcl tcl-dev tcl8.6 tcl8.6-dev
  tex-common tex-gyre texlive-base texlive-bibtex-extra texlive-binaries
  texlive-font-utils texlive-fonts-extra texlive-fonts-recommended
  texlive-lang-greek texlive-latex-base texlive-latex-extra
  texlive-latex-recommended texlive-pictures texlive-plain-generic
  texlive-publishers texlive-science txt2man ucf x11-common xdg-utils
  xfonts-encodings xfonts-utils xml-core zlib1g-dev
Suggested packages:
  autoconf-archive gnu-standards autoconf-doc bison-doc dh-make flit
  python3-build python3-installer python3-wheel flex-doc gawk-doc gettext-doc
  libasprintf-dev libgettextpo-dev git-daemon-run | git-daemon-sysvinit
  git-doc git-email git-gui gitk gitweb git-cvs git-mediawiki git-svn gsfonts
  graphviz-doc groff gtkwave ghostscript libavalon-framework-java
  libcommons-logging-java-doc libexcalibur-logkit-java liblog4j1.2-java
  libgd-tools low-memory-monitor libheif-plugin-rav1e libheif-plugin-svtenc
  liblcms2-utils ncurses-doc readline-doc libtool-doc gfortran
  | fortran95-compiler gcj-jdk m4-doc apparmor less www-browser
  libmail-box-perl python3-doc python3-tk python3-venv
  python-charset-normalizer-doc docutils-doc fonts-linuxlibertine
  | ttf-linux-libertine texlive-lang-french python-jinja2-doc
  python-latexcodec-doc python3-setuptools python-pybtex-doc
  python-pybtex-docutils-doc python-pygments-doc ttf-bitstream-vera
  python3-cryptography python3-openssl python3-socks python-requests-doc
  python3-stemmer dvipng fonts-freefont-otf imagemagick-6.q16 libjs-mathjax
  python3-sphinx-rtd-theme sphinx-doc python-sphinxcontrib.bibtex-doc
  python3-brotli python3.11-venv python3.11-doc binfmt-support sgml-base-doc
  tcl-doc tcl-tclreadline tcl8.6-doc gv | postscript-viewer perl-tk xpdf
  | pdf-viewer xzdec texlive-binaries-sse2 lcdf-typetools psutils
  texlive-fonts-extra-doc texlive-fonts-recommended-doc texlive-latex-base-doc
  wp2latex icc-profiles libfile-which-perl texlive-latex-extra-doc
  texlive-latex-recommended-doc texlive-luatex texlive-pstricks dot2tex prerex
  texlive-pictures-doc vprerex default-jre-headless texlive-publishers-doc
  texlive-science-doc
Recommended packages:
  libfl-dev curl | wget | lynx less ssh-client fonts-liberation2 xpdf
  | pdf-viewer gv | postscript-viewer bzip2-doc libarchive-cpio-perl
  libglib2.0-data shared-mime-info xdg-user-dirs libgts-bin
  libheif-plugin-aomenc libheif-plugin-x265 javascript-common libjson-xs-perl
  libldap-common libgpm2 poppler-data publicsuffix libsasl2-modules
  libltdl-dev libmail-sendmail-perl python3-pil fonts-texgyre
  fonts-texgyre-math dvisvgm ghostscript ps2eps cm-super fonts-adf-accanthis
  fonts-adf-berenis fonts-adf-gillius fonts-adf-universalis
  fonts-alegreya-sans fonts-cabin fonts-cantarell fonts-clear-sans
  fonts-comfortaa fonts-comic-neue fonts-croscore fonts-crosextra-caladea
  fonts-crosextra-carlito fonts-dejavu-extra fonts-ebgaramond-extra
  fonts-font-awesome fonts-freefont-otf fonts-freefont-ttf fonts-gfs-artemisia
  fonts-gfs-complutum fonts-gfs-didot fonts-gfs-neohellenic fonts-gfs-olga
  fonts-gfs-solomos fonts-go fonts-inter fonts-junicode fonts-lato
  fonts-linuxlibertine fonts-lobstertwo fonts-noto-color-emoji fonts-noto-core
  fonts-noto-mono fonts-oflb-asana-math fonts-open-sans fonts-paratype
  fonts-roboto-slab fonts-roboto-unhinted fonts-sil-andika fonts-sil-charis
  fonts-sil-gentium fonts-sil-gentium-basic fonts-sil-gentiumplus
  fonts-sil-gentiumplus-compact fonts-stix texlive-fonts-extra-links tipa
  default-jre libspreadsheet-parseexcel-perl ruby tk libfile-mimeinfo-perl
  libnet-dbus-perl libx11-protocol-perl x11-utils x11-xserver-utils
The following NEW packages will be installed:
  autoconf automake autopoint autotools-dev bison bsdextrautils
  ca-certificates debhelper dh-autoreconf dh-python dh-strip-nondeterminism
  docutils-common dwz file flex fontconfig fontconfig-config fonts-dejavu-core
  fonts-dejavu-mono fonts-gfs-baskerville fonts-gfs-porson fonts-lmodern gawk
  gettext gettext-base git git-man graphviz groff-base intltool-debian
  iverilog latexmk libabsl20220623 libann0 libaom3 libapache-pom-java
  libarchive-zip-perl libavif15 libbrotli1 libbsd0 libbz2-dev libcairo2
  libcdt5 libcgraph6 libcommons-logging-java libcommons-parent-java
  libcurl3-gnutls libdatrie1 libdav1d6 libde265-0 libdebhelper-perl
  libdeflate0 libelf1 liberror-perl libexpat1 libffi-dev
  libfile-stripnondeterminism-perl libfontbox-java libfontconfig1 libfontenc1
  libfreetype6 libfribidi0 libgav1-1 libgd3 libglib2.0-0 libgraphite2-3
  libgts-0.7-5 libgvc6 libgvpr2 libharfbuzz0b libheif-plugin-dav1d
  libheif-plugin-libde265 libheif1 libice6 libicu72 libjbig0 libjpeg62-turbo
  libjs-jquery libjs-sphinxdoc libjs-underscore libjson-perl libkpathsea6
  liblab-gamut1 liblcms2-2 libldap-2.5-0 liblerc4 libltdl7 libmagic-mgc
  libmagic1 libncurses-dev libncurses6 libncursesw6 libnghttp2-14 libnspr4
  libnss3 libopenjp2-7 libpango-1.0-0 libpangocairo-1.0-0 libpangoft2-1.0-0
  libpaper-utils libpaper1 libpathplan4 libpdfbox-java libpipeline1
  libpixman-1-0 libpkgconf3 libpng16-16 libpoppler-glib8 libpoppler126
  libpotrace0 libpsl5 libptexenc1 libpython3-stdlib libpython3.11-minimal
  libpython3.11-stdlib librav1e0 libreadline-dev libreadline8 librtmp1
  libsasl2-2 libsasl2-modules-db libsharpyuv0 libsigsegv2 libsm6 libsqlite3-0
  libssh2-1 libsub-override-perl libsvtav1enc1d1 libsynctex2 libtcl8.6
  libteckit0 libtexlua53-5 libthai-data libthai0 libtiff6 libtool libuchardet0
  libwebp7 libx11-6 libx11-data libxau6 libxaw7 libxcb-render0 libxcb-shm0
  libxcb1 libxdmcp6 libxext6 libxi6 libxml2 libxmu6 libxpm4 libxrender1 libxt6
  libyaml-0-2 libyuv0 libzzip-0-13 lmodern m4 man-db media-types openssl
  pdf2svg pkg-config pkgconf pkgconf-bin po-debconf preview-latex-style
  python-babel-localedata python3 python3-alabaster python3-babel
  python3-certifi python3-chardet python3-charset-normalizer python3-distutils
  python3-docutils python3-idna python3-imagesize python3-jinja2
  python3-latexcodec python3-lib2to3 python3-markupsafe python3-minimal
  python3-packaging python3-pkg-resources python3-pybtex
  python3-pybtex-docutils python3-pygments python3-requests python3-roman
  python3-six python3-snowballstemmer python3-sphinx
  python3-sphinx-press-theme python3-sphinxcontrib.bibtex python3-tz
  python3-urllib3 python3-yaml python3.11 python3.11-minimal readline-common
  sbuild-build-depends-main-dummy sensible-utils sgml-base sphinx-common
  t1utils tcl tcl-dev tcl8.6 tcl8.6-dev tex-common tex-gyre texlive-base
  texlive-bibtex-extra texlive-binaries texlive-font-utils texlive-fonts-extra
  texlive-fonts-recommended texlive-lang-greek texlive-latex-base
  texlive-latex-extra texlive-latex-recommended texlive-pictures
  texlive-plain-generic texlive-publishers texlive-science txt2man ucf
  x11-common xdg-utils xfonts-encodings xfonts-utils xml-core zlib1g-dev
0 upgraded, 234 newly installed, 0 to remove and 0 not upgraded.
Need to get 914 MB/914 MB of archives.
After this operation, 2538 MB of additional disk space will be used.
Get:1 copy:/<<RESOLVERDIR>>/apt_archive ./ sbuild-build-depends-main-dummy 0.invalid.0 [1096 B]
Get:2 http://deb.debian.org/debian unstable/main amd64 m4 amd64 1.4.19-4 [287 kB]
Get:3 http://deb.debian.org/debian unstable/main amd64 flex amd64 2.6.4-8.2 [422 kB]
Get:4 http://deb.debian.org/debian unstable/main amd64 readline-common all 8.2-1.3 [69.0 kB]
Get:5 http://deb.debian.org/debian unstable/main amd64 libreadline8 amd64 8.2-1.3 [166 kB]
Get:6 http://deb.debian.org/debian unstable/main amd64 libsigsegv2 amd64 2.14-1 [37.2 kB]
Get:7 http://deb.debian.org/debian unstable/main amd64 gawk amd64 1:5.2.1-2 [673 kB]
Get:8 http://deb.debian.org/debian unstable/main amd64 libpython3.11-minimal amd64 3.11.5-3 [815 kB]
Get:9 http://deb.debian.org/debian unstable/main amd64 libexpat1 amd64 2.5.0-2 [99.0 kB]
Get:10 http://deb.debian.org/debian unstable/main amd64 python3.11-minimal amd64 3.11.5-3 [2034 kB]
Get:11 http://deb.debian.org/debian unstable/main amd64 python3-minimal amd64 3.11.4-5+b1 [26.5 kB]
Get:12 http://deb.debian.org/debian unstable/main amd64 media-types all 10.1.0 [26.9 kB]
Get:13 http://deb.debian.org/debian unstable/main amd64 libncursesw6 amd64 6.4+20230625-2 [134 kB]
Get:14 http://deb.debian.org/debian unstable/main amd64 libsqlite3-0 amd64 3.43.1-1 [872 kB]
Get:15 http://deb.debian.org/debian unstable/main amd64 libpython3.11-stdlib amd64 3.11.5-3 [1802 kB]
Get:16 http://deb.debian.org/debian unstable/main amd64 python3.11 amd64 3.11.5-3 [582 kB]
Get:17 http://deb.debian.org/debian unstable/main amd64 libpython3-stdlib amd64 3.11.4-5+b1 [9492 B]
Get:18 http://deb.debian.org/debian unstable/main amd64 python3 amd64 3.11.4-5+b1 [26.5 kB]
Get:19 http://deb.debian.org/debian unstable/main amd64 sgml-base all 1.31 [15.4 kB]
Get:20 http://deb.debian.org/debian unstable/main amd64 sensible-utils all 0.0.20 [19.3 kB]
Get:21 http://deb.debian.org/debian unstable/main amd64 openssl amd64 3.0.11-1 [1416 kB]
Get:22 http://deb.debian.org/debian unstable/main amd64 ca-certificates all 20230311 [153 kB]
Get:23 http://deb.debian.org/debian unstable/main amd64 libmagic-mgc amd64 1:5.45-2 [314 kB]
Get:24 http://deb.debian.org/debian unstable/main amd64 libmagic1 amd64 1:5.45-2 [105 kB]
Get:25 http://deb.debian.org/debian unstable/main amd64 file amd64 1:5.45-2 [42.8 kB]
Get:26 http://deb.debian.org/debian unstable/main amd64 gettext-base amd64 0.21-13+b1 [161 kB]
Get:27 http://deb.debian.org/debian unstable/main amd64 libuchardet0 amd64 0.0.7-1 [67.8 kB]
Get:28 http://deb.debian.org/debian unstable/main amd64 groff-base amd64 1.23.0-2 [1176 kB]
Get:29 http://deb.debian.org/debian unstable/main amd64 bsdextrautils amd64 2.39.2-1 [88.7 kB]
Get:30 http://deb.debian.org/debian unstable/main amd64 libpipeline1 amd64 1.5.7-1 [38.5 kB]
Get:31 http://deb.debian.org/debian unstable/main amd64 man-db amd64 2.12.0-1 [1402 kB]
Get:32 http://deb.debian.org/debian unstable/main amd64 ucf all 3.0043+nmu1 [55.2 kB]
Get:33 http://deb.debian.org/debian unstable/main amd64 autoconf all 2.71-3 [332 kB]
Get:34 http://deb.debian.org/debian unstable/main amd64 autotools-dev all 20220109.1 [51.6 kB]
Get:35 http://deb.debian.org/debian unstable/main amd64 automake all 1:1.16.5-1.3 [823 kB]
Get:36 http://deb.debian.org/debian unstable/main amd64 autopoint all 0.21-13 [496 kB]
Get:37 http://deb.debian.org/debian unstable/main amd64 bison amd64 2:3.8.2+dfsg-1+b1 [1175 kB]
Get:38 http://deb.debian.org/debian unstable/main amd64 libdebhelper-perl all 13.11.6 [81.9 kB]
Get:39 http://deb.debian.org/debian unstable/main amd64 libtool all 2.4.7-7 [517 kB]
Get:40 http://deb.debian.org/debian unstable/main amd64 dh-autoreconf all 20 [17.1 kB]
Get:41 http://deb.debian.org/debian unstable/main amd64 libarchive-zip-perl all 1.68-1 [104 kB]
Get:42 http://deb.debian.org/debian unstable/main amd64 libsub-override-perl all 0.09-4 [9304 B]
Get:43 http://deb.debian.org/debian unstable/main amd64 libfile-stripnondeterminism-perl all 1.13.1-1 [19.4 kB]
Get:44 http://deb.debian.org/debian unstable/main amd64 dh-strip-nondeterminism all 1.13.1-1 [8620 B]
Get:45 http://deb.debian.org/debian unstable/main amd64 dwz amd64 0.15-1 [109 kB]
Get:46 http://deb.debian.org/debian unstable/main amd64 libicu72 amd64 72.1-3 [9376 kB]
Get:47 http://deb.debian.org/debian unstable/main amd64 libxml2 amd64 2.9.14+dfsg-1.3 [686 kB]
Get:48 http://deb.debian.org/debian unstable/main amd64 gettext amd64 0.21-13+b1 [1301 kB]
Get:49 http://deb.debian.org/debian unstable/main amd64 intltool-debian all 0.35.0+20060710.6 [22.9 kB]
Get:50 http://deb.debian.org/debian unstable/main amd64 po-debconf all 1.0.21+nmu1 [248 kB]
Get:51 http://deb.debian.org/debian unstable/main amd64 debhelper all 13.11.6 [952 kB]
Get:52 http://deb.debian.org/debian unstable/main amd64 python3-lib2to3 all 3.11.5-1 [77.5 kB]
Get:53 http://deb.debian.org/debian unstable/main amd64 python3-distutils all 3.11.5-1 [131 kB]
Get:54 http://deb.debian.org/debian unstable/main amd64 dh-python all 6.20230825 [106 kB]
Get:55 http://deb.debian.org/debian unstable/main amd64 xml-core all 0.18+nmu1 [23.8 kB]
Get:56 http://deb.debian.org/debian unstable/main amd64 docutils-common all 0.19+dfsg-7 [127 kB]
Get:57 http://deb.debian.org/debian unstable/main amd64 libbrotli1 amd64 1.0.9-2+b6 [275 kB]
Get:58 http://deb.debian.org/debian unstable/main amd64 libpng16-16 amd64 1.6.40-1 [275 kB]
Get:59 http://deb.debian.org/debian unstable/main amd64 libfreetype6 amd64 2.13.2+dfsg-1 [437 kB]
Get:60 http://deb.debian.org/debian unstable/main amd64 fonts-dejavu-mono all 2.37-8 [489 kB]
Get:61 http://deb.debian.org/debian unstable/main amd64 fonts-dejavu-core all 2.37-8 [840 kB]
Get:62 http://deb.debian.org/debian unstable/main amd64 fontconfig-config amd64 2.14.2-6 [316 kB]
Get:63 http://deb.debian.org/debian unstable/main amd64 libfontconfig1 amd64 2.14.2-6 [387 kB]
Get:64 http://deb.debian.org/debian unstable/main amd64 fontconfig amd64 2.14.2-6 [462 kB]
Get:65 http://deb.debian.org/debian unstable/main amd64 fonts-gfs-baskerville all 1.1-6 [43.7 kB]
Get:66 http://deb.debian.org/debian unstable/main amd64 fonts-gfs-porson all 1.1-7 [33.8 kB]
Get:67 http://deb.debian.org/debian unstable/main amd64 fonts-lmodern all 2.005-1 [4540 kB]
Get:68 http://deb.debian.org/debian unstable/main amd64 libsasl2-modules-db amd64 2.1.28+dfsg1-3 [19.3 kB]
Get:69 http://deb.debian.org/debian unstable/main amd64 libsasl2-2 amd64 2.1.28+dfsg1-3 [56.6 kB]
Get:70 http://deb.debian.org/debian unstable/main amd64 libldap-2.5-0 amd64 2.5.13+dfsg-5 [183 kB]
Get:71 http://deb.debian.org/debian unstable/main amd64 libnghttp2-14 amd64 1.56.0-1 [73.1 kB]
Get:72 http://deb.debian.org/debian unstable/main amd64 libpsl5 amd64 0.21.2-1+b1 [59.1 kB]
Get:73 http://deb.debian.org/debian unstable/main amd64 librtmp1 amd64 2.4+20151223.gitfa8646d.1-2+b2 [60.8 kB]
Get:74 http://deb.debian.org/debian unstable/main amd64 libssh2-1 amd64 1.11.0-2 [214 kB]
Get:75 http://deb.debian.org/debian unstable/main amd64 libcurl3-gnutls amd64 8.3.0-1 [399 kB]
Get:76 http://deb.debian.org/debian unstable/main amd64 liberror-perl all 0.17029-2 [29.0 kB]
Get:77 http://deb.debian.org/debian unstable/main amd64 git-man all 1:2.40.1-1 [2072 kB]
Get:78 http://deb.debian.org/debian unstable/main amd64 git amd64 1:2.40.1-1 [7269 kB]
Get:79 http://deb.debian.org/debian unstable/main amd64 libann0 amd64 1.1.2+doc-9+b1 [25.1 kB]
Get:80 http://deb.debian.org/debian unstable/main amd64 libcdt5 amd64 2.42.2-7+b3 [39.8 kB]
Get:81 http://deb.debian.org/debian unstable/main amd64 libcgraph6 amd64 2.42.2-7+b3 [63.2 kB]
Get:82 http://deb.debian.org/debian unstable/main amd64 libaom3 amd64 3.7.0~really3.6.1-1 [1852 kB]
Get:83 http://deb.debian.org/debian unstable/main amd64 libdav1d6 amd64 1.2.1-2 [541 kB]
Get:84 http://deb.debian.org/debian unstable/main amd64 libabsl20220623 amd64 20220623.1-3 [393 kB]
Get:85 http://deb.debian.org/debian unstable/main amd64 libgav1-1 amd64 0.18.0-1+b1 [332 kB]
Get:86 http://deb.debian.org/debian unstable/main amd64 librav1e0 amd64 0.6.6-3 [894 kB]
Get:87 http://deb.debian.org/debian unstable/main amd64 libsharpyuv0 amd64 1.3.2-0.3 [107 kB]
Get:88 http://deb.debian.org/debian unstable/main amd64 libsvtav1enc1d1 amd64 1.7.0+dfsg-2 [2169 kB]
Get:89 http://deb.debian.org/debian unstable/main amd64 libjpeg62-turbo amd64 1:2.1.5-2 [166 kB]
Get:90 http://deb.debian.org/debian unstable/main amd64 libyuv0 amd64 0.0~git20230907.cbfb661-1 [169 kB]
Get:91 http://deb.debian.org/debian unstable/main amd64 libavif15 amd64 0.11.1-3+b1 [95.1 kB]
Get:92 http://deb.debian.org/debian unstable/main amd64 libheif-plugin-dav1d amd64 1.16.2-2+b1 [9472 B]
Get:93 http://deb.debian.org/debian unstable/main amd64 libde265-0 amd64 1.0.12-2 [187 kB]
Get:94 http://deb.debian.org/debian unstable/main amd64 libheif-plugin-libde265 amd64 1.16.2-2+b1 [12.7 kB]
Get:95 http://deb.debian.org/debian unstable/main amd64 libheif1 amd64 1.16.2-2+b1 [225 kB]
Get:96 http://deb.debian.org/debian unstable/main amd64 libdeflate0 amd64 1.18-1 [40.9 kB]
Get:97 http://deb.debian.org/debian unstable/main amd64 libjbig0 amd64 2.1-6.1 [31.7 kB]
Get:98 http://deb.debian.org/debian unstable/main amd64 liblerc4 amd64 4.0.0+ds-3 [170 kB]
Get:99 http://deb.debian.org/debian unstable/main amd64 libwebp7 amd64 1.3.2-0.3 [308 kB]
Get:100 http://deb.debian.org/debian unstable/main amd64 libtiff6 amd64 4.5.1+git230720-1 [322 kB]
Get:101 http://deb.debian.org/debian unstable/main amd64 libxau6 amd64 1:1.0.9-1 [19.7 kB]
Get:102 http://deb.debian.org/debian unstable/main amd64 libxdmcp6 amd64 1:1.1.2-3 [26.3 kB]
Get:103 http://deb.debian.org/debian unstable/main amd64 libxcb1 amd64 1.15-1 [144 kB]
Get:104 http://deb.debian.org/debian unstable/main amd64 libx11-data all 2:1.8.6-1 [323 kB]
Get:105 http://deb.debian.org/debian unstable/main amd64 libx11-6 amd64 2:1.8.6-1 [793 kB]
Get:106 http://deb.debian.org/debian unstable/main amd64 libxpm4 amd64 1:3.5.12-1.1 [48.4 kB]
Get:107 http://deb.debian.org/debian unstable/main amd64 libgd3 amd64 2.3.3-9 [124 kB]
Get:108 http://deb.debian.org/debian unstable/main amd64 libglib2.0-0 amd64 2.78.0-2 [1449 kB]
Get:109 http://deb.debian.org/debian unstable/main amd64 libgts-0.7-5 amd64 0.7.6+darcs121130-5+b1 [160 kB]
Get:110 http://deb.debian.org/debian unstable/main amd64 libpixman-1-0 amd64 0.42.2-1 [546 kB]
Get:111 http://deb.debian.org/debian unstable/main amd64 libxcb-render0 amd64 1.15-1 [115 kB]
Get:112 http://deb.debian.org/debian unstable/main amd64 libxcb-shm0 amd64 1.15-1 [105 kB]
Get:113 http://deb.debian.org/debian unstable/main amd64 libxext6 amd64 2:1.3.4-1+b1 [52.9 kB]
Get:114 http://deb.debian.org/debian unstable/main amd64 libxrender1 amd64 1:0.9.10-1.1 [33.2 kB]
Get:115 http://deb.debian.org/debian unstable/main amd64 libcairo2 amd64 1.18.0-1 [530 kB]
Get:116 http://deb.debian.org/debian unstable/main amd64 libltdl7 amd64 2.4.7-7 [393 kB]
Get:117 http://deb.debian.org/debian unstable/main amd64 libfribidi0 amd64 1.0.13-3 [71.1 kB]
Get:118 http://deb.debian.org/debian unstable/main amd64 libgraphite2-3 amd64 1.3.14-1 [81.2 kB]
Get:119 http://deb.debian.org/debian unstable/main amd64 libharfbuzz0b amd64 8.0.1-1 [2158 kB]
Get:120 http://deb.debian.org/debian unstable/main amd64 libthai-data all 0.1.29-1 [176 kB]
Get:121 http://deb.debian.org/debian unstable/main amd64 libdatrie1 amd64 0.2.13-2+b1 [43.3 kB]
Get:122 http://deb.debian.org/debian unstable/main amd64 libthai0 amd64 0.1.29-1 [57.5 kB]
Get:123 http://deb.debian.org/debian unstable/main amd64 libpango-1.0-0 amd64 1.51.0+ds-2 [213 kB]
Get:124 http://deb.debian.org/debian unstable/main amd64 libpangoft2-1.0-0 amd64 1.51.0+ds-2 [46.2 kB]
Get:125 http://deb.debian.org/debian unstable/main amd64 libpangocairo-1.0-0 amd64 1.51.0+ds-2 [34.1 kB]
Get:126 http://deb.debian.org/debian unstable/main amd64 libpathplan4 amd64 2.42.2-7+b3 [42.2 kB]
Get:127 http://deb.debian.org/debian unstable/main amd64 libgvc6 amd64 2.42.2-7+b3 [678 kB]
Get:128 http://deb.debian.org/debian unstable/main amd64 libgvpr2 amd64 2.42.2-7+b3 [189 kB]
Get:129 http://deb.debian.org/debian unstable/main amd64 liblab-gamut1 amd64 2.42.2-7+b3 [198 kB]
Get:130 http://deb.debian.org/debian unstable/main amd64 x11-common all 1:7.7+23 [252 kB]
Get:131 http://deb.debian.org/debian unstable/main amd64 libice6 amd64 2:1.0.10-1 [58.5 kB]
Get:132 http://deb.debian.org/debian unstable/main amd64 libsm6 amd64 2:1.2.3-1 [35.1 kB]
Get:133 http://deb.debian.org/debian unstable/main amd64 libxt6 amd64 1:1.2.1-1.1 [186 kB]
Get:134 http://deb.debian.org/debian unstable/main amd64 libxmu6 amd64 2:1.1.3-3 [60.1 kB]
Get:135 http://deb.debian.org/debian unstable/main amd64 libxaw7 amd64 2:1.0.14-1 [201 kB]
Get:136 http://deb.debian.org/debian unstable/main amd64 graphviz amd64 2.42.2-7+b3 [611 kB]
Get:137 http://deb.debian.org/debian unstable/main amd64 iverilog amd64 12.0-2 [2035 kB]
Get:138 http://deb.debian.org/debian unstable/main amd64 tex-common all 6.18 [32.5 kB]
Get:139 http://deb.debian.org/debian unstable/main amd64 libpaper1 amd64 1.1.29 [12.5 kB]
Get:140 http://deb.debian.org/debian unstable/main amd64 libpaper-utils amd64 1.1.29 [8868 B]
Get:141 http://deb.debian.org/debian unstable/main amd64 libkpathsea6 amd64 2023.20230311.66589-6 [153 kB]
Get:142 http://deb.debian.org/debian unstable/main amd64 libptexenc1 amd64 2023.20230311.66589-6 [46.5 kB]
Get:143 http://deb.debian.org/debian unstable/main amd64 libsynctex2 amd64 2023.20230311.66589-6 [61.5 kB]
Get:144 http://deb.debian.org/debian unstable/main amd64 libtexlua53-5 amd64 2023.20230311.66589-6 [112 kB]
Get:145 http://deb.debian.org/debian unstable/main amd64 t1utils amd64 1.41-4 [62.1 kB]
Get:146 http://deb.debian.org/debian unstable/main amd64 libpotrace0 amd64 1.16-2 [26.2 kB]
Get:147 http://deb.debian.org/debian unstable/main amd64 libteckit0 amd64 2.5.11+ds1-1+b1 [335 kB]
Get:148 http://deb.debian.org/debian unstable/main amd64 libxi6 amd64 2:1.8-1+b1 [84.2 kB]
Get:149 http://deb.debian.org/debian unstable/main amd64 libzzip-0-13 amd64 0.13.72+dfsg.1-1.1 [58.3 kB]
Get:150 http://deb.debian.org/debian unstable/main amd64 texlive-binaries amd64 2023.20230311.66589-6 [8473 kB]
Get:151 http://deb.debian.org/debian unstable/main amd64 xdg-utils all 1.1.3-4.1 [75.5 kB]
Get:152 http://deb.debian.org/debian unstable/main amd64 texlive-base all 2023.20230613-3 [22.0 MB]
Get:153 http://deb.debian.org/debian unstable/main amd64 texlive-latex-base all 2023.20230613-3 [1222 kB]
Get:154 http://deb.debian.org/debian unstable/main amd64 latexmk all 1:4.80-1 [204 kB]
Get:155 http://deb.debian.org/debian unstable/main amd64 libapache-pom-java all 29-2 [5276 B]
Get:156 http://deb.debian.org/debian unstable/main amd64 libbz2-dev amd64 1.0.8-5+b1 [30.9 kB]
Get:157 http://deb.debian.org/debian unstable/main amd64 libcommons-parent-java all 56-1 [10.8 kB]
Get:158 http://deb.debian.org/debian unstable/main amd64 libcommons-logging-java all 1.2-3 [62.4 kB]
Get:159 http://deb.debian.org/debian unstable/main amd64 libffi-dev amd64 3.4.4-1 [59.4 kB]
Get:160 http://deb.debian.org/debian unstable/main amd64 libfontbox-java all 1:1.8.16-4 [211 kB]
Get:161 http://deb.debian.org/debian unstable/main amd64 libfontenc1 amd64 1:1.1.4-1 [24.3 kB]
Get:162 http://deb.debian.org/debian unstable/main amd64 libjs-jquery all 3.6.1+dfsg+~3.5.14-1 [326 kB]
Get:163 http://deb.debian.org/debian unstable/main amd64 libjs-underscore all 1.13.4~dfsg+~1.11.4-3 [116 kB]
Get:164 http://deb.debian.org/debian unstable/main amd64 libjs-sphinxdoc all 5.3.0-7 [131 kB]
Get:165 http://deb.debian.org/debian unstable/main amd64 libjson-perl all 4.10000-1 [87.5 kB]
Get:166 http://deb.debian.org/debian unstable/main amd64 liblcms2-2 amd64 2.14-2 [154 kB]
Get:167 http://deb.debian.org/debian unstable/main amd64 libncurses6 amd64 6.4+20230625-2 [103 kB]
Get:168 http://deb.debian.org/debian unstable/main amd64 libncurses-dev amd64 6.4+20230625-2 [347 kB]
Get:169 http://deb.debian.org/debian unstable/main amd64 libnspr4 amd64 2:4.35-1.1 [108 kB]
Get:170 http://deb.debian.org/debian unstable/main amd64 libnss3 amd64 2:3.92-1 [1341 kB]
Get:171 http://deb.debian.org/debian unstable/main amd64 libopenjp2-7 amd64 2.5.0-2 [189 kB]
Get:172 http://deb.debian.org/debian unstable/main amd64 libpdfbox-java all 1:1.8.16-4 [5527 kB]
Get:173 http://deb.debian.org/debian unstable/main amd64 libpkgconf3 amd64 1.8.1-1 [36.1 kB]
Get:174 http://deb.debian.org/debian unstable/main amd64 libpoppler126 amd64 22.12.0-2+b1 [1852 kB]
Get:175 http://deb.debian.org/debian unstable/main amd64 libpoppler-glib8 amd64 22.12.0-2+b1 [133 kB]
Get:176 http://deb.debian.org/debian unstable/main amd64 libreadline-dev amd64 8.2-1.3 [151 kB]
Get:177 http://deb.debian.org/debian unstable/main amd64 libtcl8.6 amd64 8.6.13+dfsg-2 [1035 kB]
Get:178 http://deb.debian.org/debian unstable/main amd64 libyaml-0-2 amd64 0.2.5-1 [53.6 kB]
Get:179 http://deb.debian.org/debian unstable/main amd64 xfonts-encodings all 1:1.0.4-2.2 [577 kB]
Get:180 http://deb.debian.org/debian unstable/main amd64 xfonts-utils amd64 1:7.7+6 [93.0 kB]
Get:181 http://deb.debian.org/debian unstable/main amd64 lmodern all 2.005-1 [9480 kB]
Get:182 http://deb.debian.org/debian unstable/main amd64 pkgconf-bin amd64 1.8.1-1 [29.5 kB]
Get:183 http://deb.debian.org/debian unstable/main amd64 pkgconf amd64 1.8.1-1 [25.9 kB]
Get:184 http://deb.debian.org/debian unstable/main amd64 pkg-config amd64 1.8.1-1 [13.7 kB]
Get:185 http://deb.debian.org/debian unstable/main amd64 preview-latex-style all 12.2-1 [201 kB]
Get:186 http://deb.debian.org/debian unstable/main amd64 python-babel-localedata all 2.10.3-1 [5615 kB]
Get:187 http://deb.debian.org/debian unstable/main amd64 python3-alabaster all 0.7.12-1 [20.8 kB]
Get:188 http://deb.debian.org/debian unstable/main amd64 python3-pkg-resources all 68.1.2-1 [241 kB]
Get:189 http://deb.debian.org/debian unstable/main amd64 python3-tz all 2023.3.post1-1 [30.8 kB]
Get:190 http://deb.debian.org/debian unstable/main amd64 python3-babel all 2.10.3-1 [103 kB]
Get:191 http://deb.debian.org/debian unstable/main amd64 python3-certifi all 2022.9.24-1 [153 kB]
Get:192 http://deb.debian.org/debian unstable/main amd64 python3-chardet all 5.2.0+dfsg-1 [107 kB]
Get:193 http://deb.debian.org/debian unstable/main amd64 python3-charset-normalizer all 3.2.0-1 [50.7 kB]
Get:194 http://deb.debian.org/debian unstable/main amd64 python3-roman all 3.3-3 [9880 B]
Get:195 http://deb.debian.org/debian unstable/main amd64 python3-docutils all 0.19+dfsg-7 [382 kB]
Get:196 http://deb.debian.org/debian unstable/main amd64 python3-idna all 3.3-2 [39.1 kB]
Get:197 http://deb.debian.org/debian unstable/main amd64 python3-imagesize all 1.4.1-1 [6688 B]
Get:198 http://deb.debian.org/debian unstable/main amd64 python3-markupsafe amd64 2.1.3-1 [13.1 kB]
Get:199 http://deb.debian.org/debian unstable/main amd64 python3-jinja2 all 3.1.2-1 [119 kB]
Get:200 http://deb.debian.org/debian unstable/main amd64 python3-six all 1.16.0-4 [17.5 kB]
Get:201 http://deb.debian.org/debian unstable/main amd64 python3-latexcodec all 2.0.1-2 [18.0 kB]
Get:202 http://deb.debian.org/debian unstable/main amd64 python3-packaging all 23.1-1 [37.4 kB]
Get:203 http://deb.debian.org/debian unstable/main amd64 python3-yaml amd64 6.0.1-1 [119 kB]
Get:204 http://deb.debian.org/debian unstable/main amd64 python3-pybtex all 0.24.0-4 [74.6 kB]
Get:205 http://deb.debian.org/debian unstable/main amd64 python3-pybtex-docutils all 1.0.2-1 [8064 B]
Get:206 http://deb.debian.org/debian unstable/main amd64 python3-pygments all 2.15.1+dfsg-1 [817 kB]
Get:207 http://deb.debian.org/debian unstable/main amd64 python3-urllib3 all 1.26.16-1 [115 kB]
Get:208 http://deb.debian.org/debian unstable/main amd64 python3-requests all 2.31.0+dfsg-1 [68.6 kB]
Get:209 http://deb.debian.org/debian unstable/main amd64 python3-snowballstemmer all 2.2.0-4 [58.0 kB]
Get:210 http://deb.debian.org/debian unstable/main amd64 sphinx-common all 5.3.0-7 [652 kB]
Get:211 http://deb.debian.org/debian unstable/main amd64 python3-sphinx all 5.3.0-7 [550 kB]
Get:212 http://deb.debian.org/debian unstable/main amd64 python3-sphinx-press-theme all 0.8.0-2 [12.2 kB]
Get:213 http://deb.debian.org/debian unstable/main amd64 python3-sphinxcontrib.bibtex all 2.5.0-2 [30.8 kB]
Get:214 http://deb.debian.org/debian unstable/main amd64 tcl8.6 amd64 8.6.13+dfsg-2 [120 kB]
Get:215 http://deb.debian.org/debian unstable/main amd64 tcl amd64 8.6.13 [4016 B]
Get:216 http://deb.debian.org/debian unstable/main amd64 zlib1g-dev amd64 1:1.2.13.dfsg-3 [917 kB]
Get:217 http://deb.debian.org/debian unstable/main amd64 tcl8.6-dev amd64 8.6.13+dfsg-2 [1023 kB]
Get:218 http://deb.debian.org/debian unstable/main amd64 tcl-dev amd64 8.6.13 [6560 B]
Get:219 http://deb.debian.org/debian unstable/main amd64 tex-gyre all 20180621-6 [6209 kB]
Get:220 http://deb.debian.org/debian unstable/main amd64 texlive-bibtex-extra all 2023.20230613-2 [79.2 MB]
Get:221 http://deb.debian.org/debian unstable/main amd64 texlive-font-utils all 2023.20230613-2 [7047 kB]
Get:222 http://deb.debian.org/debian unstable/main amd64 texlive-fonts-extra all 2023.20230613-2 [504 MB]
Ign:222 http://deb.debian.org/debian unstable/main amd64 texlive-fonts-extra all 2023.20230613-2
Get:223 http://deb.debian.org/debian unstable/main amd64 texlive-fonts-recommended all 2023.20230613-3 [4989 kB]
Get:224 http://deb.debian.org/debian unstable/main amd64 texlive-lang-greek all 2023.20230613-2 [78.3 MB]
Get:225 http://deb.debian.org/debian unstable/main amd64 texlive-latex-recommended all 2023.20230613-3 [8843 kB]
Get:226 http://deb.debian.org/debian unstable/main amd64 texlive-pictures all 2023.20230613-3 [15.9 MB]
Get:227 http://deb.debian.org/debian unstable/main amd64 texlive-latex-extra all 2023.20230613-2 [19.5 MB]
Get:228 http://deb.debian.org/debian unstable/main amd64 texlive-plain-generic all 2023.20230613-2 [28.8 MB]
Get:229 http://deb.debian.org/debian unstable/main amd64 texlive-publishers all 2023.20230613-2 [21.2 MB]
Get:230 http://deb.debian.org/debian unstable/main amd64 texlive-science all 2023.20230613-2 [3750 kB]
Get:231 http://deb.debian.org/debian unstable/main amd64 txt2man all 1.7.1-4 [35.4 kB]
Get:222 http://deb.debian.org/debian unstable/main amd64 texlive-fonts-extra all 2023.20230613-2 [504 MB]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 767 MB in 4min 7s (3104 kB/s)
Selecting previously unselected package m4.
(Reading database ... 12663 files and directories currently installed.)
Preparing to unpack .../archives/m4_1.4.19-4_amd64.deb ...
Unpacking m4 (1.4.19-4) ...
Selecting previously unselected package flex.
Preparing to unpack .../flex_2.6.4-8.2_amd64.deb ...
Unpacking flex (2.6.4-8.2) ...
Selecting previously unselected package readline-common.
Preparing to unpack .../readline-common_8.2-1.3_all.deb ...
Unpacking readline-common (8.2-1.3) ...
Selecting previously unselected package libreadline8:amd64.
Preparing to unpack .../libreadline8_8.2-1.3_amd64.deb ...
Unpacking libreadline8:amd64 (8.2-1.3) ...
Selecting previously unselected package libsigsegv2:amd64.
Preparing to unpack .../libsigsegv2_2.14-1_amd64.deb ...
Unpacking libsigsegv2:amd64 (2.14-1) ...
Setting up readline-common (8.2-1.3) ...
Setting up libreadline8:amd64 (8.2-1.3) ...
Setting up libsigsegv2:amd64 (2.14-1) ...
Selecting previously unselected package gawk.
(Reading database ... 12878 files and directories currently installed.)
Preparing to unpack .../gawk_1%3a5.2.1-2_amd64.deb ...
Unpacking gawk (1:5.2.1-2) ...
Selecting previously unselected package libpython3.11-minimal:amd64.
Preparing to unpack .../libpython3.11-minimal_3.11.5-3_amd64.deb ...
Unpacking libpython3.11-minimal:amd64 (3.11.5-3) ...
Selecting previously unselected package libexpat1:amd64.
Preparing to unpack .../libexpat1_2.5.0-2_amd64.deb ...
Unpacking libexpat1:amd64 (2.5.0-2) ...
Selecting previously unselected package python3.11-minimal.
Preparing to unpack .../python3.11-minimal_3.11.5-3_amd64.deb ...
Unpacking python3.11-minimal (3.11.5-3) ...
Setting up libpython3.11-minimal:amd64 (3.11.5-3) ...
Setting up libexpat1:amd64 (2.5.0-2) ...
Setting up python3.11-minimal (3.11.5-3) ...
Selecting previously unselected package python3-minimal.
(Reading database ... 13382 files and directories currently installed.)
Preparing to unpack .../0-python3-minimal_3.11.4-5+b1_amd64.deb ...
Unpacking python3-minimal (3.11.4-5+b1) ...
Selecting previously unselected package media-types.
Preparing to unpack .../1-media-types_10.1.0_all.deb ...
Unpacking media-types (10.1.0) ...
Selecting previously unselected package libncursesw6:amd64.
Preparing to unpack .../2-libncursesw6_6.4+20230625-2_amd64.deb ...
Unpacking libncursesw6:amd64 (6.4+20230625-2) ...
Selecting previously unselected package libsqlite3-0:amd64.
Preparing to unpack .../3-libsqlite3-0_3.43.1-1_amd64.deb ...
Unpacking libsqlite3-0:amd64 (3.43.1-1) ...
Selecting previously unselected package libpython3.11-stdlib:amd64.
Preparing to unpack .../4-libpython3.11-stdlib_3.11.5-3_amd64.deb ...
Unpacking libpython3.11-stdlib:amd64 (3.11.5-3) ...
Selecting previously unselected package python3.11.
Preparing to unpack .../5-python3.11_3.11.5-3_amd64.deb ...
Unpacking python3.11 (3.11.5-3) ...
Selecting previously unselected package libpython3-stdlib:amd64.
Preparing to unpack .../6-libpython3-stdlib_3.11.4-5+b1_amd64.deb ...
Unpacking libpython3-stdlib:amd64 (3.11.4-5+b1) ...
Setting up python3-minimal (3.11.4-5+b1) ...
Selecting previously unselected package python3.
(Reading database ... 13809 files and directories currently installed.)
Preparing to unpack .../000-python3_3.11.4-5+b1_amd64.deb ...
Unpacking python3 (3.11.4-5+b1) ...
Selecting previously unselected package sgml-base.
Preparing to unpack .../001-sgml-base_1.31_all.deb ...
Unpacking sgml-base (1.31) ...
Selecting previously unselected package sensible-utils.
Preparing to unpack .../002-sensible-utils_0.0.20_all.deb ...
Unpacking sensible-utils (0.0.20) ...
Selecting previously unselected package openssl.
Preparing to unpack .../003-openssl_3.0.11-1_amd64.deb ...
Unpacking openssl (3.0.11-1) ...
Selecting previously unselected package ca-certificates.
Preparing to unpack .../004-ca-certificates_20230311_all.deb ...
Unpacking ca-certificates (20230311) ...
Selecting previously unselected package libmagic-mgc.
Preparing to unpack .../005-libmagic-mgc_1%3a5.45-2_amd64.deb ...
Unpacking libmagic-mgc (1:5.45-2) ...
Selecting previously unselected package libmagic1:amd64.
Preparing to unpack .../006-libmagic1_1%3a5.45-2_amd64.deb ...
Unpacking libmagic1:amd64 (1:5.45-2) ...
Selecting previously unselected package file.
Preparing to unpack .../007-file_1%3a5.45-2_amd64.deb ...
Unpacking file (1:5.45-2) ...
Selecting previously unselected package gettext-base.
Preparing to unpack .../008-gettext-base_0.21-13+b1_amd64.deb ...
Unpacking gettext-base (0.21-13+b1) ...
Selecting previously unselected package libuchardet0:amd64.
Preparing to unpack .../009-libuchardet0_0.0.7-1_amd64.deb ...
Unpacking libuchardet0:amd64 (0.0.7-1) ...
Selecting previously unselected package groff-base.
Preparing to unpack .../010-groff-base_1.23.0-2_amd64.deb ...
Unpacking groff-base (1.23.0-2) ...
Selecting previously unselected package bsdextrautils.
Preparing to unpack .../011-bsdextrautils_2.39.2-1_amd64.deb ...
Unpacking bsdextrautils (2.39.2-1) ...
Selecting previously unselected package libpipeline1:amd64.
Preparing to unpack .../012-libpipeline1_1.5.7-1_amd64.deb ...
Unpacking libpipeline1:amd64 (1.5.7-1) ...
Selecting previously unselected package man-db.
Preparing to unpack .../013-man-db_2.12.0-1_amd64.deb ...
Unpacking man-db (2.12.0-1) ...
Selecting previously unselected package ucf.
Preparing to unpack .../014-ucf_3.0043+nmu1_all.deb ...
Moving old data out of the way
Unpacking ucf (3.0043+nmu1) ...
Selecting previously unselected package autoconf.
Preparing to unpack .../015-autoconf_2.71-3_all.deb ...
Unpacking autoconf (2.71-3) ...
Selecting previously unselected package autotools-dev.
Preparing to unpack .../016-autotools-dev_20220109.1_all.deb ...
Unpacking autotools-dev (20220109.1) ...
Selecting previously unselected package automake.
Preparing to unpack .../017-automake_1%3a1.16.5-1.3_all.deb ...
Unpacking automake (1:1.16.5-1.3) ...
Selecting previously unselected package autopoint.
Preparing to unpack .../018-autopoint_0.21-13_all.deb ...
Unpacking autopoint (0.21-13) ...
Selecting previously unselected package bison.
Preparing to unpack .../019-bison_2%3a3.8.2+dfsg-1+b1_amd64.deb ...
Unpacking bison (2:3.8.2+dfsg-1+b1) ...
Selecting previously unselected package libdebhelper-perl.
Preparing to unpack .../020-libdebhelper-perl_13.11.6_all.deb ...
Unpacking libdebhelper-perl (13.11.6) ...
Selecting previously unselected package libtool.
Preparing to unpack .../021-libtool_2.4.7-7_all.deb ...
Unpacking libtool (2.4.7-7) ...
Selecting previously unselected package dh-autoreconf.
Preparing to unpack .../022-dh-autoreconf_20_all.deb ...
Unpacking dh-autoreconf (20) ...
Selecting previously unselected package libarchive-zip-perl.
Preparing to unpack .../023-libarchive-zip-perl_1.68-1_all.deb ...
Unpacking libarchive-zip-perl (1.68-1) ...
Selecting previously unselected package libsub-override-perl.
Preparing to unpack .../024-libsub-override-perl_0.09-4_all.deb ...
Unpacking libsub-override-perl (0.09-4) ...
Selecting previously unselected package libfile-stripnondeterminism-perl.
Preparing to unpack .../025-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ...
Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ...
Selecting previously unselected package dh-strip-nondeterminism.
Preparing to unpack .../026-dh-strip-nondeterminism_1.13.1-1_all.deb ...
Unpacking dh-strip-nondeterminism (1.13.1-1) ...
Selecting previously unselected package libelf1:amd64.
Preparing to unpack .../027-libelf1_0.189-4_amd64.deb ...
Unpacking libelf1:amd64 (0.189-4) ...
Selecting previously unselected package dwz.
Preparing to unpack .../028-dwz_0.15-1_amd64.deb ...
Unpacking dwz (0.15-1) ...
Selecting previously unselected package libicu72:amd64.
Preparing to unpack .../029-libicu72_72.1-3_amd64.deb ...
Unpacking libicu72:amd64 (72.1-3) ...
Selecting previously unselected package libxml2:amd64.
Preparing to unpack .../030-libxml2_2.9.14+dfsg-1.3_amd64.deb ...
Unpacking libxml2:amd64 (2.9.14+dfsg-1.3) ...
Selecting previously unselected package gettext.
Preparing to unpack .../031-gettext_0.21-13+b1_amd64.deb ...
Unpacking gettext (0.21-13+b1) ...
Selecting previously unselected package intltool-debian.
Preparing to unpack .../032-intltool-debian_0.35.0+20060710.6_all.deb ...
Unpacking intltool-debian (0.35.0+20060710.6) ...
Selecting previously unselected package po-debconf.
Preparing to unpack .../033-po-debconf_1.0.21+nmu1_all.deb ...
Unpacking po-debconf (1.0.21+nmu1) ...
Selecting previously unselected package debhelper.
Preparing to unpack .../034-debhelper_13.11.6_all.deb ...
Unpacking debhelper (13.11.6) ...
Selecting previously unselected package python3-lib2to3.
Preparing to unpack .../035-python3-lib2to3_3.11.5-1_all.deb ...
Unpacking python3-lib2to3 (3.11.5-1) ...
Selecting previously unselected package python3-distutils.
Preparing to unpack .../036-python3-distutils_3.11.5-1_all.deb ...
Unpacking python3-distutils (3.11.5-1) ...
Selecting previously unselected package dh-python.
Preparing to unpack .../037-dh-python_6.20230825_all.deb ...
Unpacking dh-python (6.20230825) ...
Selecting previously unselected package xml-core.
Preparing to unpack .../038-xml-core_0.18+nmu1_all.deb ...
Unpacking xml-core (0.18+nmu1) ...
Selecting previously unselected package docutils-common.
Preparing to unpack .../039-docutils-common_0.19+dfsg-7_all.deb ...
Unpacking docutils-common (0.19+dfsg-7) ...
Selecting previously unselected package libbrotli1:amd64.
Preparing to unpack .../040-libbrotli1_1.0.9-2+b6_amd64.deb ...
Unpacking libbrotli1:amd64 (1.0.9-2+b6) ...
Selecting previously unselected package libpng16-16:amd64.
Preparing to unpack .../041-libpng16-16_1.6.40-1_amd64.deb ...
Unpacking libpng16-16:amd64 (1.6.40-1) ...
Selecting previously unselected package libfreetype6:amd64.
Preparing to unpack .../042-libfreetype6_2.13.2+dfsg-1_amd64.deb ...
Unpacking libfreetype6:amd64 (2.13.2+dfsg-1) ...
Selecting previously unselected package fonts-dejavu-mono.
Preparing to unpack .../043-fonts-dejavu-mono_2.37-8_all.deb ...
Unpacking fonts-dejavu-mono (2.37-8) ...
Selecting previously unselected package fonts-dejavu-core.
Preparing to unpack .../044-fonts-dejavu-core_2.37-8_all.deb ...
Unpacking fonts-dejavu-core (2.37-8) ...
Selecting previously unselected package fontconfig-config.
Preparing to unpack .../045-fontconfig-config_2.14.2-6_amd64.deb ...
Unpacking fontconfig-config (2.14.2-6) ...
Selecting previously unselected package libfontconfig1:amd64.
Preparing to unpack .../046-libfontconfig1_2.14.2-6_amd64.deb ...
Unpacking libfontconfig1:amd64 (2.14.2-6) ...
Selecting previously unselected package fontconfig.
Preparing to unpack .../047-fontconfig_2.14.2-6_amd64.deb ...
Unpacking fontconfig (2.14.2-6) ...
Selecting previously unselected package fonts-gfs-baskerville.
Preparing to unpack .../048-fonts-gfs-baskerville_1.1-6_all.deb ...
Unpacking fonts-gfs-baskerville (1.1-6) ...
Selecting previously unselected package fonts-gfs-porson.
Preparing to unpack .../049-fonts-gfs-porson_1.1-7_all.deb ...
Unpacking fonts-gfs-porson (1.1-7) ...
Selecting previously unselected package fonts-lmodern.
Preparing to unpack .../050-fonts-lmodern_2.005-1_all.deb ...
Unpacking fonts-lmodern (2.005-1) ...
Selecting previously unselected package libsasl2-modules-db:amd64.
Preparing to unpack .../051-libsasl2-modules-db_2.1.28+dfsg1-3_amd64.deb ...
Unpacking libsasl2-modules-db:amd64 (2.1.28+dfsg1-3) ...
Selecting previously unselected package libsasl2-2:amd64.
Preparing to unpack .../052-libsasl2-2_2.1.28+dfsg1-3_amd64.deb ...
Unpacking libsasl2-2:amd64 (2.1.28+dfsg1-3) ...
Selecting previously unselected package libldap-2.5-0:amd64.
Preparing to unpack .../053-libldap-2.5-0_2.5.13+dfsg-5_amd64.deb ...
Unpacking libldap-2.5-0:amd64 (2.5.13+dfsg-5) ...
Selecting previously unselected package libnghttp2-14:amd64.
Preparing to unpack .../054-libnghttp2-14_1.56.0-1_amd64.deb ...
Unpacking libnghttp2-14:amd64 (1.56.0-1) ...
Selecting previously unselected package libpsl5:amd64.
Preparing to unpack .../055-libpsl5_0.21.2-1+b1_amd64.deb ...
Unpacking libpsl5:amd64 (0.21.2-1+b1) ...
Selecting previously unselected package librtmp1:amd64.
Preparing to unpack .../056-librtmp1_2.4+20151223.gitfa8646d.1-2+b2_amd64.deb ...
Unpacking librtmp1:amd64 (2.4+20151223.gitfa8646d.1-2+b2) ...
Selecting previously unselected package libssh2-1:amd64.
Preparing to unpack .../057-libssh2-1_1.11.0-2_amd64.deb ...
Unpacking libssh2-1:amd64 (1.11.0-2) ...
Selecting previously unselected package libcurl3-gnutls:amd64.
Preparing to unpack .../058-libcurl3-gnutls_8.3.0-1_amd64.deb ...
Unpacking libcurl3-gnutls:amd64 (8.3.0-1) ...
Selecting previously unselected package liberror-perl.
Preparing to unpack .../059-liberror-perl_0.17029-2_all.deb ...
Unpacking liberror-perl (0.17029-2) ...
Selecting previously unselected package git-man.
Preparing to unpack .../060-git-man_1%3a2.40.1-1_all.deb ...
Unpacking git-man (1:2.40.1-1) ...
Selecting previously unselected package git.
Preparing to unpack .../061-git_1%3a2.40.1-1_amd64.deb ...
Unpacking git (1:2.40.1-1) ...
Selecting previously unselected package libann0.
Preparing to unpack .../062-libann0_1.1.2+doc-9+b1_amd64.deb ...
Unpacking libann0 (1.1.2+doc-9+b1) ...
Selecting previously unselected package libcdt5:amd64.
Preparing to unpack .../063-libcdt5_2.42.2-7+b3_amd64.deb ...
Unpacking libcdt5:amd64 (2.42.2-7+b3) ...
Selecting previously unselected package libcgraph6:amd64.
Preparing to unpack .../064-libcgraph6_2.42.2-7+b3_amd64.deb ...
Unpacking libcgraph6:amd64 (2.42.2-7+b3) ...
Selecting previously unselected package libaom3:amd64.
Preparing to unpack .../065-libaom3_3.7.0~really3.6.1-1_amd64.deb ...
Unpacking libaom3:amd64 (3.7.0~really3.6.1-1) ...
Selecting previously unselected package libdav1d6:amd64.
Preparing to unpack .../066-libdav1d6_1.2.1-2_amd64.deb ...
Unpacking libdav1d6:amd64 (1.2.1-2) ...
Selecting previously unselected package libabsl20220623:amd64.
Preparing to unpack .../067-libabsl20220623_20220623.1-3_amd64.deb ...
Unpacking libabsl20220623:amd64 (20220623.1-3) ...
Selecting previously unselected package libgav1-1:amd64.
Preparing to unpack .../068-libgav1-1_0.18.0-1+b1_amd64.deb ...
Unpacking libgav1-1:amd64 (0.18.0-1+b1) ...
Selecting previously unselected package librav1e0:amd64.
Preparing to unpack .../069-librav1e0_0.6.6-3_amd64.deb ...
Unpacking librav1e0:amd64 (0.6.6-3) ...
Selecting previously unselected package libsharpyuv0:amd64.
Preparing to unpack .../070-libsharpyuv0_1.3.2-0.3_amd64.deb ...
Unpacking libsharpyuv0:amd64 (1.3.2-0.3) ...
Selecting previously unselected package libsvtav1enc1d1:amd64.
Preparing to unpack .../071-libsvtav1enc1d1_1.7.0+dfsg-2_amd64.deb ...
Unpacking libsvtav1enc1d1:amd64 (1.7.0+dfsg-2) ...
Selecting previously unselected package libjpeg62-turbo:amd64.
Preparing to unpack .../072-libjpeg62-turbo_1%3a2.1.5-2_amd64.deb ...
Unpacking libjpeg62-turbo:amd64 (1:2.1.5-2) ...
Selecting previously unselected package libyuv0:amd64.
Preparing to unpack .../073-libyuv0_0.0~git20230907.cbfb661-1_amd64.deb ...
Unpacking libyuv0:amd64 (0.0~git20230907.cbfb661-1) ...
Selecting previously unselected package libavif15:amd64.
Preparing to unpack .../074-libavif15_0.11.1-3+b1_amd64.deb ...
Unpacking libavif15:amd64 (0.11.1-3+b1) ...
Selecting previously unselected package libheif-plugin-dav1d:amd64.
Preparing to unpack .../075-libheif-plugin-dav1d_1.16.2-2+b1_amd64.deb ...
Unpacking libheif-plugin-dav1d:amd64 (1.16.2-2+b1) ...
Selecting previously unselected package libde265-0:amd64.
Preparing to unpack .../076-libde265-0_1.0.12-2_amd64.deb ...
Unpacking libde265-0:amd64 (1.0.12-2) ...
Selecting previously unselected package libheif-plugin-libde265:amd64.
Preparing to unpack .../077-libheif-plugin-libde265_1.16.2-2+b1_amd64.deb ...
Unpacking libheif-plugin-libde265:amd64 (1.16.2-2+b1) ...
Selecting previously unselected package libheif1:amd64.
Preparing to unpack .../078-libheif1_1.16.2-2+b1_amd64.deb ...
Unpacking libheif1:amd64 (1.16.2-2+b1) ...
Selecting previously unselected package libdeflate0:amd64.
Preparing to unpack .../079-libdeflate0_1.18-1_amd64.deb ...
Unpacking libdeflate0:amd64 (1.18-1) ...
Selecting previously unselected package libjbig0:amd64.
Preparing to unpack .../080-libjbig0_2.1-6.1_amd64.deb ...
Unpacking libjbig0:amd64 (2.1-6.1) ...
Selecting previously unselected package liblerc4:amd64.
Preparing to unpack .../081-liblerc4_4.0.0+ds-3_amd64.deb ...
Unpacking liblerc4:amd64 (4.0.0+ds-3) ...
Selecting previously unselected package libwebp7:amd64.
Preparing to unpack .../082-libwebp7_1.3.2-0.3_amd64.deb ...
Unpacking libwebp7:amd64 (1.3.2-0.3) ...
Selecting previously unselected package libtiff6:amd64.
Preparing to unpack .../083-libtiff6_4.5.1+git230720-1_amd64.deb ...
Unpacking libtiff6:amd64 (4.5.1+git230720-1) ...
Selecting previously unselected package libxau6:amd64.
Preparing to unpack .../084-libxau6_1%3a1.0.9-1_amd64.deb ...
Unpacking libxau6:amd64 (1:1.0.9-1) ...
Selecting previously unselected package libbsd0:amd64.
Preparing to unpack .../085-libbsd0_0.11.7-4_amd64.deb ...
Unpacking libbsd0:amd64 (0.11.7-4) ...
Selecting previously unselected package libxdmcp6:amd64.
Preparing to unpack .../086-libxdmcp6_1%3a1.1.2-3_amd64.deb ...
Unpacking libxdmcp6:amd64 (1:1.1.2-3) ...
Selecting previously unselected package libxcb1:amd64.
Preparing to unpack .../087-libxcb1_1.15-1_amd64.deb ...
Unpacking libxcb1:amd64 (1.15-1) ...
Selecting previously unselected package libx11-data.
Preparing to unpack .../088-libx11-data_2%3a1.8.6-1_all.deb ...
Unpacking libx11-data (2:1.8.6-1) ...
Selecting previously unselected package libx11-6:amd64.
Preparing to unpack .../089-libx11-6_2%3a1.8.6-1_amd64.deb ...
Unpacking libx11-6:amd64 (2:1.8.6-1) ...
Selecting previously unselected package libxpm4:amd64.
Preparing to unpack .../090-libxpm4_1%3a3.5.12-1.1_amd64.deb ...
Unpacking libxpm4:amd64 (1:3.5.12-1.1) ...
Selecting previously unselected package libgd3:amd64.
Preparing to unpack .../091-libgd3_2.3.3-9_amd64.deb ...
Unpacking libgd3:amd64 (2.3.3-9) ...
Selecting previously unselected package libglib2.0-0:amd64.
Preparing to unpack .../092-libglib2.0-0_2.78.0-2_amd64.deb ...
Unpacking libglib2.0-0:amd64 (2.78.0-2) ...
Selecting previously unselected package libgts-0.7-5:amd64.
Preparing to unpack .../093-libgts-0.7-5_0.7.6+darcs121130-5+b1_amd64.deb ...
Unpacking libgts-0.7-5:amd64 (0.7.6+darcs121130-5+b1) ...
Selecting previously unselected package libpixman-1-0:amd64.
Preparing to unpack .../094-libpixman-1-0_0.42.2-1_amd64.deb ...
Unpacking libpixman-1-0:amd64 (0.42.2-1) ...
Selecting previously unselected package libxcb-render0:amd64.
Preparing to unpack .../095-libxcb-render0_1.15-1_amd64.deb ...
Unpacking libxcb-render0:amd64 (1.15-1) ...
Selecting previously unselected package libxcb-shm0:amd64.
Preparing to unpack .../096-libxcb-shm0_1.15-1_amd64.deb ...
Unpacking libxcb-shm0:amd64 (1.15-1) ...
Selecting previously unselected package libxext6:amd64.
Preparing to unpack .../097-libxext6_2%3a1.3.4-1+b1_amd64.deb ...
Unpacking libxext6:amd64 (2:1.3.4-1+b1) ...
Selecting previously unselected package libxrender1:amd64.
Preparing to unpack .../098-libxrender1_1%3a0.9.10-1.1_amd64.deb ...
Unpacking libxrender1:amd64 (1:0.9.10-1.1) ...
Selecting previously unselected package libcairo2:amd64.
Preparing to unpack .../099-libcairo2_1.18.0-1_amd64.deb ...
Unpacking libcairo2:amd64 (1.18.0-1) ...
Selecting previously unselected package libltdl7:amd64.
Preparing to unpack .../100-libltdl7_2.4.7-7_amd64.deb ...
Unpacking libltdl7:amd64 (2.4.7-7) ...
Selecting previously unselected package libfribidi0:amd64.
Preparing to unpack .../101-libfribidi0_1.0.13-3_amd64.deb ...
Unpacking libfribidi0:amd64 (1.0.13-3) ...
Selecting previously unselected package libgraphite2-3:amd64.
Preparing to unpack .../102-libgraphite2-3_1.3.14-1_amd64.deb ...
Unpacking libgraphite2-3:amd64 (1.3.14-1) ...
Selecting previously unselected package libharfbuzz0b:amd64.
Preparing to unpack .../103-libharfbuzz0b_8.0.1-1_amd64.deb ...
Unpacking libharfbuzz0b:amd64 (8.0.1-1) ...
Selecting previously unselected package libthai-data.
Preparing to unpack .../104-libthai-data_0.1.29-1_all.deb ...
Unpacking libthai-data (0.1.29-1) ...
Selecting previously unselected package libdatrie1:amd64.
Preparing to unpack .../105-libdatrie1_0.2.13-2+b1_amd64.deb ...
Unpacking libdatrie1:amd64 (0.2.13-2+b1) ...
Selecting previously unselected package libthai0:amd64.
Preparing to unpack .../106-libthai0_0.1.29-1_amd64.deb ...
Unpacking libthai0:amd64 (0.1.29-1) ...
Selecting previously unselected package libpango-1.0-0:amd64.
Preparing to unpack .../107-libpango-1.0-0_1.51.0+ds-2_amd64.deb ...
Unpacking libpango-1.0-0:amd64 (1.51.0+ds-2) ...
Selecting previously unselected package libpangoft2-1.0-0:amd64.
Preparing to unpack .../108-libpangoft2-1.0-0_1.51.0+ds-2_amd64.deb ...
Unpacking libpangoft2-1.0-0:amd64 (1.51.0+ds-2) ...
Selecting previously unselected package libpangocairo-1.0-0:amd64.
Preparing to unpack .../109-libpangocairo-1.0-0_1.51.0+ds-2_amd64.deb ...
Unpacking libpangocairo-1.0-0:amd64 (1.51.0+ds-2) ...
Selecting previously unselected package libpathplan4:amd64.
Preparing to unpack .../110-libpathplan4_2.42.2-7+b3_amd64.deb ...
Unpacking libpathplan4:amd64 (2.42.2-7+b3) ...
Selecting previously unselected package libgvc6.
Preparing to unpack .../111-libgvc6_2.42.2-7+b3_amd64.deb ...
Unpacking libgvc6 (2.42.2-7+b3) ...
Selecting previously unselected package libgvpr2:amd64.
Preparing to unpack .../112-libgvpr2_2.42.2-7+b3_amd64.deb ...
Unpacking libgvpr2:amd64 (2.42.2-7+b3) ...
Selecting previously unselected package liblab-gamut1:amd64.
Preparing to unpack .../113-liblab-gamut1_2.42.2-7+b3_amd64.deb ...
Unpacking liblab-gamut1:amd64 (2.42.2-7+b3) ...
Selecting previously unselected package x11-common.
Preparing to unpack .../114-x11-common_1%3a7.7+23_all.deb ...
Unpacking x11-common (1:7.7+23) ...
Selecting previously unselected package libice6:amd64.
Preparing to unpack .../115-libice6_2%3a1.0.10-1_amd64.deb ...
Unpacking libice6:amd64 (2:1.0.10-1) ...
Selecting previously unselected package libsm6:amd64.
Preparing to unpack .../116-libsm6_2%3a1.2.3-1_amd64.deb ...
Unpacking libsm6:amd64 (2:1.2.3-1) ...
Selecting previously unselected package libxt6:amd64.
Preparing to unpack .../117-libxt6_1%3a1.2.1-1.1_amd64.deb ...
Unpacking libxt6:amd64 (1:1.2.1-1.1) ...
Selecting previously unselected package libxmu6:amd64.
Preparing to unpack .../118-libxmu6_2%3a1.1.3-3_amd64.deb ...
Unpacking libxmu6:amd64 (2:1.1.3-3) ...
Selecting previously unselected package libxaw7:amd64.
Preparing to unpack .../119-libxaw7_2%3a1.0.14-1_amd64.deb ...
Unpacking libxaw7:amd64 (2:1.0.14-1) ...
Selecting previously unselected package graphviz.
Preparing to unpack .../120-graphviz_2.42.2-7+b3_amd64.deb ...
Unpacking graphviz (2.42.2-7+b3) ...
Selecting previously unselected package iverilog.
Preparing to unpack .../121-iverilog_12.0-2_amd64.deb ...
Unpacking iverilog (12.0-2) ...
Selecting previously unselected package tex-common.
Preparing to unpack .../122-tex-common_6.18_all.deb ...
Unpacking tex-common (6.18) ...
Selecting previously unselected package libpaper1:amd64.
Preparing to unpack .../123-libpaper1_1.1.29_amd64.deb ...
Unpacking libpaper1:amd64 (1.1.29) ...
Selecting previously unselected package libpaper-utils.
Preparing to unpack .../124-libpaper-utils_1.1.29_amd64.deb ...
Unpacking libpaper-utils (1.1.29) ...
Selecting previously unselected package libkpathsea6:amd64.
Preparing to unpack .../125-libkpathsea6_2023.20230311.66589-6_amd64.deb ...
Unpacking libkpathsea6:amd64 (2023.20230311.66589-6) ...
Selecting previously unselected package libptexenc1:amd64.
Preparing to unpack .../126-libptexenc1_2023.20230311.66589-6_amd64.deb ...
Unpacking libptexenc1:amd64 (2023.20230311.66589-6) ...
Selecting previously unselected package libsynctex2:amd64.
Preparing to unpack .../127-libsynctex2_2023.20230311.66589-6_amd64.deb ...
Unpacking libsynctex2:amd64 (2023.20230311.66589-6) ...
Selecting previously unselected package libtexlua53-5:amd64.
Preparing to unpack .../128-libtexlua53-5_2023.20230311.66589-6_amd64.deb ...
Unpacking libtexlua53-5:amd64 (2023.20230311.66589-6) ...
Selecting previously unselected package t1utils.
Preparing to unpack .../129-t1utils_1.41-4_amd64.deb ...
Unpacking t1utils (1.41-4) ...
Selecting previously unselected package libpotrace0:amd64.
Preparing to unpack .../130-libpotrace0_1.16-2_amd64.deb ...
Unpacking libpotrace0:amd64 (1.16-2) ...
Selecting previously unselected package libteckit0:amd64.
Preparing to unpack .../131-libteckit0_2.5.11+ds1-1+b1_amd64.deb ...
Unpacking libteckit0:amd64 (2.5.11+ds1-1+b1) ...
Selecting previously unselected package libxi6:amd64.
Preparing to unpack .../132-libxi6_2%3a1.8-1+b1_amd64.deb ...
Unpacking libxi6:amd64 (2:1.8-1+b1) ...
Selecting previously unselected package libzzip-0-13:amd64.
Preparing to unpack .../133-libzzip-0-13_0.13.72+dfsg.1-1.1_amd64.deb ...
Unpacking libzzip-0-13:amd64 (0.13.72+dfsg.1-1.1) ...
Selecting previously unselected package texlive-binaries.
Preparing to unpack .../134-texlive-binaries_2023.20230311.66589-6_amd64.deb ...
Unpacking texlive-binaries (2023.20230311.66589-6) ...
Selecting previously unselected package xdg-utils.
Preparing to unpack .../135-xdg-utils_1.1.3-4.1_all.deb ...
Unpacking xdg-utils (1.1.3-4.1) ...
Selecting previously unselected package texlive-base.
Preparing to unpack .../136-texlive-base_2023.20230613-3_all.deb ...
Unpacking texlive-base (2023.20230613-3) ...
Selecting previously unselected package texlive-latex-base.
Preparing to unpack .../137-texlive-latex-base_2023.20230613-3_all.deb ...
Unpacking texlive-latex-base (2023.20230613-3) ...
Selecting previously unselected package latexmk.
Preparing to unpack .../138-latexmk_1%3a4.80-1_all.deb ...
Unpacking latexmk (1:4.80-1) ...
Selecting previously unselected package libapache-pom-java.
Preparing to unpack .../139-libapache-pom-java_29-2_all.deb ...
Unpacking libapache-pom-java (29-2) ...
Selecting previously unselected package libbz2-dev:amd64.
Preparing to unpack .../140-libbz2-dev_1.0.8-5+b1_amd64.deb ...
Unpacking libbz2-dev:amd64 (1.0.8-5+b1) ...
Selecting previously unselected package libcommons-parent-java.
Preparing to unpack .../141-libcommons-parent-java_56-1_all.deb ...
Unpacking libcommons-parent-java (56-1) ...
Selecting previously unselected package libcommons-logging-java.
Preparing to unpack .../142-libcommons-logging-java_1.2-3_all.deb ...
Unpacking libcommons-logging-java (1.2-3) ...
Selecting previously unselected package libffi-dev:amd64.
Preparing to unpack .../143-libffi-dev_3.4.4-1_amd64.deb ...
Unpacking libffi-dev:amd64 (3.4.4-1) ...
Selecting previously unselected package libfontbox-java.
Preparing to unpack .../144-libfontbox-java_1%3a1.8.16-4_all.deb ...
Unpacking libfontbox-java (1:1.8.16-4) ...
Selecting previously unselected package libfontenc1:amd64.
Preparing to unpack .../145-libfontenc1_1%3a1.1.4-1_amd64.deb ...
Unpacking libfontenc1:amd64 (1:1.1.4-1) ...
Selecting previously unselected package libjs-jquery.
Preparing to unpack .../146-libjs-jquery_3.6.1+dfsg+~3.5.14-1_all.deb ...
Unpacking libjs-jquery (3.6.1+dfsg+~3.5.14-1) ...
Selecting previously unselected package libjs-underscore.
Preparing to unpack .../147-libjs-underscore_1.13.4~dfsg+~1.11.4-3_all.deb ...
Unpacking libjs-underscore (1.13.4~dfsg+~1.11.4-3) ...
Selecting previously unselected package libjs-sphinxdoc.
Preparing to unpack .../148-libjs-sphinxdoc_5.3.0-7_all.deb ...
Unpacking libjs-sphinxdoc (5.3.0-7) ...
Selecting previously unselected package libjson-perl.
Preparing to unpack .../149-libjson-perl_4.10000-1_all.deb ...
Unpacking libjson-perl (4.10000-1) ...
Selecting previously unselected package liblcms2-2:amd64.
Preparing to unpack .../150-liblcms2-2_2.14-2_amd64.deb ...
Unpacking liblcms2-2:amd64 (2.14-2) ...
Selecting previously unselected package libncurses6:amd64.
Preparing to unpack .../151-libncurses6_6.4+20230625-2_amd64.deb ...
Unpacking libncurses6:amd64 (6.4+20230625-2) ...
Selecting previously unselected package libncurses-dev:amd64.
Preparing to unpack .../152-libncurses-dev_6.4+20230625-2_amd64.deb ...
Unpacking libncurses-dev:amd64 (6.4+20230625-2) ...
Selecting previously unselected package libnspr4:amd64.
Preparing to unpack .../153-libnspr4_2%3a4.35-1.1_amd64.deb ...
Unpacking libnspr4:amd64 (2:4.35-1.1) ...
Selecting previously unselected package libnss3:amd64.
Preparing to unpack .../154-libnss3_2%3a3.92-1_amd64.deb ...
Unpacking libnss3:amd64 (2:3.92-1) ...
Selecting previously unselected package libopenjp2-7:amd64.
Preparing to unpack .../155-libopenjp2-7_2.5.0-2_amd64.deb ...
Unpacking libopenjp2-7:amd64 (2.5.0-2) ...
Selecting previously unselected package libpdfbox-java.
Preparing to unpack .../156-libpdfbox-java_1%3a1.8.16-4_all.deb ...
Unpacking libpdfbox-java (1:1.8.16-4) ...
Selecting previously unselected package libpkgconf3:amd64.
Preparing to unpack .../157-libpkgconf3_1.8.1-1_amd64.deb ...
Unpacking libpkgconf3:amd64 (1.8.1-1) ...
Selecting previously unselected package libpoppler126:amd64.
Preparing to unpack .../158-libpoppler126_22.12.0-2+b1_amd64.deb ...
Unpacking libpoppler126:amd64 (22.12.0-2+b1) ...
Selecting previously unselected package libpoppler-glib8:amd64.
Preparing to unpack .../159-libpoppler-glib8_22.12.0-2+b1_amd64.deb ...
Unpacking libpoppler-glib8:amd64 (22.12.0-2+b1) ...
Selecting previously unselected package libreadline-dev:amd64.
Preparing to unpack .../160-libreadline-dev_8.2-1.3_amd64.deb ...
Unpacking libreadline-dev:amd64 (8.2-1.3) ...
Selecting previously unselected package libtcl8.6:amd64.
Preparing to unpack .../161-libtcl8.6_8.6.13+dfsg-2_amd64.deb ...
Unpacking libtcl8.6:amd64 (8.6.13+dfsg-2) ...
Selecting previously unselected package libyaml-0-2:amd64.
Preparing to unpack .../162-libyaml-0-2_0.2.5-1_amd64.deb ...
Unpacking libyaml-0-2:amd64 (0.2.5-1) ...
Selecting previously unselected package xfonts-encodings.
Preparing to unpack .../163-xfonts-encodings_1%3a1.0.4-2.2_all.deb ...
Unpacking xfonts-encodings (1:1.0.4-2.2) ...
Selecting previously unselected package xfonts-utils.
Preparing to unpack .../164-xfonts-utils_1%3a7.7+6_amd64.deb ...
Unpacking xfonts-utils (1:7.7+6) ...
Selecting previously unselected package lmodern.
Preparing to unpack .../165-lmodern_2.005-1_all.deb ...
Unpacking lmodern (2.005-1) ...
Selecting previously unselected package pdf2svg.
Preparing to unpack .../166-pdf2svg_0.2.3-4_amd64.deb ...
Unpacking pdf2svg (0.2.3-4) ...
Selecting previously unselected package pkgconf-bin.
Preparing to unpack .../167-pkgconf-bin_1.8.1-1_amd64.deb ...
Unpacking pkgconf-bin (1.8.1-1) ...
Selecting previously unselected package pkgconf:amd64.
Preparing to unpack .../168-pkgconf_1.8.1-1_amd64.deb ...
Unpacking pkgconf:amd64 (1.8.1-1) ...
Selecting previously unselected package pkg-config:amd64.
Preparing to unpack .../169-pkg-config_1.8.1-1_amd64.deb ...
Unpacking pkg-config:amd64 (1.8.1-1) ...
Selecting previously unselected package preview-latex-style.
Preparing to unpack .../170-preview-latex-style_12.2-1_all.deb ...
Unpacking preview-latex-style (12.2-1) ...
Selecting previously unselected package python-babel-localedata.
Preparing to unpack .../171-python-babel-localedata_2.10.3-1_all.deb ...
Unpacking python-babel-localedata (2.10.3-1) ...
Selecting previously unselected package python3-alabaster.
Preparing to unpack .../172-python3-alabaster_0.7.12-1_all.deb ...
Unpacking python3-alabaster (0.7.12-1) ...
Selecting previously unselected package python3-pkg-resources.
Preparing to unpack .../173-python3-pkg-resources_68.1.2-1_all.deb ...
Unpacking python3-pkg-resources (68.1.2-1) ...
Selecting previously unselected package python3-tz.
Preparing to unpack .../174-python3-tz_2023.3.post1-1_all.deb ...
Unpacking python3-tz (2023.3.post1-1) ...
Selecting previously unselected package python3-babel.
Preparing to unpack .../175-python3-babel_2.10.3-1_all.deb ...
Unpacking python3-babel (2.10.3-1) ...
Selecting previously unselected package python3-certifi.
Preparing to unpack .../176-python3-certifi_2022.9.24-1_all.deb ...
Unpacking python3-certifi (2022.9.24-1) ...
Selecting previously unselected package python3-chardet.
Preparing to unpack .../177-python3-chardet_5.2.0+dfsg-1_all.deb ...
Unpacking python3-chardet (5.2.0+dfsg-1) ...
Selecting previously unselected package python3-charset-normalizer.
Preparing to unpack .../178-python3-charset-normalizer_3.2.0-1_all.deb ...
Unpacking python3-charset-normalizer (3.2.0-1) ...
Selecting previously unselected package python3-roman.
Preparing to unpack .../179-python3-roman_3.3-3_all.deb ...
Unpacking python3-roman (3.3-3) ...
Selecting previously unselected package python3-docutils.
Preparing to unpack .../180-python3-docutils_0.19+dfsg-7_all.deb ...
Unpacking python3-docutils (0.19+dfsg-7) ...
Selecting previously unselected package python3-idna.
Preparing to unpack .../181-python3-idna_3.3-2_all.deb ...
Unpacking python3-idna (3.3-2) ...
Selecting previously unselected package python3-imagesize.
Preparing to unpack .../182-python3-imagesize_1.4.1-1_all.deb ...
Unpacking python3-imagesize (1.4.1-1) ...
Selecting previously unselected package python3-markupsafe.
Preparing to unpack .../183-python3-markupsafe_2.1.3-1_amd64.deb ...
Unpacking python3-markupsafe (2.1.3-1) ...
Selecting previously unselected package python3-jinja2.
Preparing to unpack .../184-python3-jinja2_3.1.2-1_all.deb ...
Unpacking python3-jinja2 (3.1.2-1) ...
Selecting previously unselected package python3-six.
Preparing to unpack .../185-python3-six_1.16.0-4_all.deb ...
Unpacking python3-six (1.16.0-4) ...
Selecting previously unselected package python3-latexcodec.
Preparing to unpack .../186-python3-latexcodec_2.0.1-2_all.deb ...
Unpacking python3-latexcodec (2.0.1-2) ...
Selecting previously unselected package python3-packaging.
Preparing to unpack .../187-python3-packaging_23.1-1_all.deb ...
Unpacking python3-packaging (23.1-1) ...
Selecting previously unselected package python3-yaml.
Preparing to unpack .../188-python3-yaml_6.0.1-1_amd64.deb ...
Unpacking python3-yaml (6.0.1-1) ...
Selecting previously unselected package python3-pybtex.
Preparing to unpack .../189-python3-pybtex_0.24.0-4_all.deb ...
Unpacking python3-pybtex (0.24.0-4) ...
Selecting previously unselected package python3-pybtex-docutils.
Preparing to unpack .../190-python3-pybtex-docutils_1.0.2-1_all.deb ...
Unpacking python3-pybtex-docutils (1.0.2-1) ...
Selecting previously unselected package python3-pygments.
Preparing to unpack .../191-python3-pygments_2.15.1+dfsg-1_all.deb ...
Unpacking python3-pygments (2.15.1+dfsg-1) ...
Selecting previously unselected package python3-urllib3.
Preparing to unpack .../192-python3-urllib3_1.26.16-1_all.deb ...
Unpacking python3-urllib3 (1.26.16-1) ...
Selecting previously unselected package python3-requests.
Preparing to unpack .../193-python3-requests_2.31.0+dfsg-1_all.deb ...
Unpacking python3-requests (2.31.0+dfsg-1) ...
Selecting previously unselected package python3-snowballstemmer.
Preparing to unpack .../194-python3-snowballstemmer_2.2.0-4_all.deb ...
Unpacking python3-snowballstemmer (2.2.0-4) ...
Selecting previously unselected package sphinx-common.
Preparing to unpack .../195-sphinx-common_5.3.0-7_all.deb ...
Unpacking sphinx-common (5.3.0-7) ...
Selecting previously unselected package python3-sphinx.
Preparing to unpack .../196-python3-sphinx_5.3.0-7_all.deb ...
Unpacking python3-sphinx (5.3.0-7) ...
Selecting previously unselected package python3-sphinx-press-theme.
Preparing to unpack .../197-python3-sphinx-press-theme_0.8.0-2_all.deb ...
Unpacking python3-sphinx-press-theme (0.8.0-2) ...
Selecting previously unselected package python3-sphinxcontrib.bibtex.
Preparing to unpack .../198-python3-sphinxcontrib.bibtex_2.5.0-2_all.deb ...
Unpacking python3-sphinxcontrib.bibtex (2.5.0-2) ...
Selecting previously unselected package tcl8.6.
Preparing to unpack .../199-tcl8.6_8.6.13+dfsg-2_amd64.deb ...
Unpacking tcl8.6 (8.6.13+dfsg-2) ...
Selecting previously unselected package tcl.
Preparing to unpack .../200-tcl_8.6.13_amd64.deb ...
Unpacking tcl (8.6.13) ...
Selecting previously unselected package zlib1g-dev:amd64.
Preparing to unpack .../201-zlib1g-dev_1%3a1.2.13.dfsg-3_amd64.deb ...
Unpacking zlib1g-dev:amd64 (1:1.2.13.dfsg-3) ...
Selecting previously unselected package tcl8.6-dev:amd64.
Preparing to unpack .../202-tcl8.6-dev_8.6.13+dfsg-2_amd64.deb ...
Unpacking tcl8.6-dev:amd64 (8.6.13+dfsg-2) ...
Selecting previously unselected package tcl-dev:amd64.
Preparing to unpack .../203-tcl-dev_8.6.13_amd64.deb ...
Unpacking tcl-dev:amd64 (8.6.13) ...
Selecting previously unselected package tex-gyre.
Preparing to unpack .../204-tex-gyre_20180621-6_all.deb ...
Unpacking tex-gyre (20180621-6) ...
Selecting previously unselected package texlive-bibtex-extra.
Preparing to unpack .../205-texlive-bibtex-extra_2023.20230613-2_all.deb ...
Unpacking texlive-bibtex-extra (2023.20230613-2) ...
Selecting previously unselected package texlive-font-utils.
Preparing to unpack .../206-texlive-font-utils_2023.20230613-2_all.deb ...
Unpacking texlive-font-utils (2023.20230613-2) ...
Selecting previously unselected package texlive-fonts-extra.
Preparing to unpack .../207-texlive-fonts-extra_2023.20230613-2_all.deb ...
Unpacking texlive-fonts-extra (2023.20230613-2) ...
Selecting previously unselected package texlive-fonts-recommended.
Preparing to unpack .../208-texlive-fonts-recommended_2023.20230613-3_all.deb ...
Unpacking texlive-fonts-recommended (2023.20230613-3) ...
Selecting previously unselected package texlive-lang-greek.
Preparing to unpack .../209-texlive-lang-greek_2023.20230613-2_all.deb ...
Unpacking texlive-lang-greek (2023.20230613-2) ...
Selecting previously unselected package texlive-latex-recommended.
Preparing to unpack .../210-texlive-latex-recommended_2023.20230613-3_all.deb ...
Unpacking texlive-latex-recommended (2023.20230613-3) ...
Selecting previously unselected package texlive-pictures.
Preparing to unpack .../211-texlive-pictures_2023.20230613-3_all.deb ...
Unpacking texlive-pictures (2023.20230613-3) ...
Selecting previously unselected package texlive-latex-extra.
Preparing to unpack .../212-texlive-latex-extra_2023.20230613-2_all.deb ...
Unpacking texlive-latex-extra (2023.20230613-2) ...
Selecting previously unselected package texlive-plain-generic.
Preparing to unpack .../213-texlive-plain-generic_2023.20230613-2_all.deb ...
Unpacking texlive-plain-generic (2023.20230613-2) ...
Selecting previously unselected package texlive-publishers.
Preparing to unpack .../214-texlive-publishers_2023.20230613-2_all.deb ...
Unpacking texlive-publishers (2023.20230613-2) ...
Selecting previously unselected package texlive-science.
Preparing to unpack .../215-texlive-science_2023.20230613-2_all.deb ...
Unpacking texlive-science (2023.20230613-2) ...
Selecting previously unselected package txt2man.
Preparing to unpack .../216-txt2man_1.7.1-4_all.deb ...
Unpacking txt2man (1.7.1-4) ...
Selecting previously unselected package sbuild-build-depends-main-dummy.
Preparing to unpack .../217-sbuild-build-depends-main-dummy_0.invalid.0_amd64.deb ...
Unpacking sbuild-build-depends-main-dummy (0.invalid.0) ...
Setting up media-types (10.1.0) ...
Setting up libpipeline1:amd64 (1.5.7-1) ...
Setting up libgraphite2-3:amd64 (1.3.14-1) ...
Setting up liblcms2-2:amd64 (2.14-2) ...
Setting up libpixman-1-0:amd64 (0.42.2-1) ...
Setting up libsharpyuv0:amd64 (1.3.2-0.3) ...
Setting up libaom3:amd64 (3.7.0~really3.6.1-1) ...
Setting up libabsl20220623:amd64 (20220623.1-3) ...
Setting up libxau6:amd64 (1:1.0.9-1) ...
Setting up libpsl5:amd64 (0.21.2-1+b1) ...
Setting up libicu72:amd64 (72.1-3) ...
Setting up liblerc4:amd64 (4.0.0+ds-3) ...
Setting up bsdextrautils (2.39.2-1) ...
Setting up fonts-gfs-porson (1.1-7) ...
Setting up libdatrie1:amd64 (0.2.13-2+b1) ...
Setting up libmagic-mgc (1:5.45-2) ...
Setting up gawk (1:5.2.1-2) ...
Setting up libarchive-zip-perl (1.68-1) ...
Setting up libyaml-0-2:amd64 (0.2.5-1) ...
Setting up libglib2.0-0:amd64 (2.78.0-2) ...
No schema files found: doing nothing.
Setting up libdebhelper-perl (13.11.6) ...
Setting up libbrotli1:amd64 (1.0.9-2+b6) ...
Setting up libfontbox-java (1:1.8.16-4) ...
Setting up libsqlite3-0:amd64 (3.43.1-1) ...
Setting up liblab-gamut1:amd64 (2.42.2-7+b3) ...
Setting up x11-common (1:7.7+23) ...
invoke-rc.d: could not determine current runlevel
All runlevel operations denied by policy
invoke-rc.d: policy-rc.d denied execution of restart.
Setting up libnghttp2-14:amd64 (1.56.0-1) ...
Setting up libmagic1:amd64 (1:5.45-2) ...
Setting up libdeflate0:amd64 (1.18-1) ...
Setting up gettext-base (0.21-13+b1) ...
Setting up m4 (1.4.19-4) ...
Setting up libsvtav1enc1d1:amd64 (1.7.0+dfsg-2) ...
Setting up libzzip-0-13:amd64 (0.13.72+dfsg.1-1.1) ...
Setting up file (1:5.45-2) ...
Setting up libffi-dev:amd64 (3.4.4-1) ...
Setting up libjbig0:amd64 (2.1-6.1) ...
Setting up librav1e0:amd64 (0.6.6-3) ...
Setting up python-babel-localedata (2.10.3-1) ...
Setting up libsasl2-modules-db:amd64 (2.1.28+dfsg1-3) ...
Setting up liberror-perl (0.17029-2) ...
Setting up libgts-0.7-5:amd64 (0.7.6+darcs121130-5+b1) ...
Setting up iverilog (12.0-2) ...
Setting up libfontenc1:amd64 (1:1.1.4-1) ...
Setting up autotools-dev (20220109.1) ...
Setting up libpkgconf3:amd64 (1.8.1-1) ...
Setting up libjpeg62-turbo:amd64 (1:2.1.5-2) ...
Setting up libx11-data (2:1.8.6-1) ...
Setting up libnspr4:amd64 (2:4.35-1.1) ...
Setting up librtmp1:amd64 (2.4+20151223.gitfa8646d.1-2+b2) ...
Setting up libteckit0:amd64 (2.5.11+ds1-1+b1) ...
Setting up libpathplan4:amd64 (2.42.2-7+b3) ...
Setting up libapache-pom-java (29-2) ...
Setting up libann0 (1.1.2+doc-9+b1) ...
Setting up libncurses6:amd64 (6.4+20230625-2) ...
Setting up xfonts-encodings (1:1.0.4-2.2) ...
Setting up libfribidi0:amd64 (1.0.13-3) ...
Setting up t1utils (1.41-4) ...
Setting up libtexlua53-5:amd64 (2023.20230311.66589-6) ...
Setting up fonts-dejavu-mono (2.37-8) ...
Setting up libpng16-16:amd64 (1.6.40-1) ...
Setting up libtcl8.6:amd64 (8.6.13+dfsg-2) ...
Setting up autopoint (0.21-13) ...
Setting up fonts-dejavu-core (2.37-8) ...
Setting up libgav1-1:amd64 (0.18.0-1+b1) ...
Setting up pkgconf-bin (1.8.1-1) ...
Setting up libncursesw6:amd64 (6.4+20230625-2) ...
Setting up libdav1d6:amd64 (1.2.1-2) ...
Setting up libltdl7:amd64 (2.4.7-7) ...
Setting up libkpathsea6:amd64 (2023.20230311.66589-6) ...
Setting up libsasl2-2:amd64 (2.1.28+dfsg1-3) ...
Setting up autoconf (2.71-3) ...
Setting up libwebp7:amd64 (1.3.2-0.3) ...
Setting up zlib1g-dev:amd64 (1:1.2.13.dfsg-3) ...
Setting up fonts-gfs-baskerville (1.1-6) ...
Setting up sensible-utils (0.0.20) ...
Setting up libtiff6:amd64 (4.5.1+git230720-1) ...
Setting up libuchardet0:amd64 (0.0.7-1) ...
Setting up libjson-perl (4.10000-1) ...
Setting up bison (2:3.8.2+dfsg-1+b1) ...
update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode
Setting up fonts-lmodern (2.005-1) ...
Setting up libopenjp2-7:amd64 (2.5.0-2) ...
Setting up libsub-override-perl (0.09-4) ...
Setting up git-man (1:2.40.1-1) ...
Setting up libthai-data (0.1.29-1) ...
Setting up libssh2-1:amd64 (1.11.0-2) ...
Setting up sgml-base (1.31) ...
Setting up libcdt5:amd64 (2.42.2-7+b3) ...
Setting up libcgraph6:amd64 (2.42.2-7+b3) ...
Setting up libjs-jquery (3.6.1+dfsg+~3.5.14-1) ...
Setting up libde265-0:amd64 (1.0.12-2) ...
Setting up openssl (3.0.11-1) ...
Setting up libbsd0:amd64 (0.11.7-4) ...
Setting up libyuv0:amd64 (0.0~git20230907.cbfb661-1) ...
Setting up libelf1:amd64 (0.189-4) ...
Setting up libxml2:amd64 (2.9.14+dfsg-1.3) ...
Setting up xdg-utils (1.1.3-4.1) ...
update-alternatives: using /usr/bin/xdg-open to provide /usr/bin/open (open) in auto mode
Setting up libsynctex2:amd64 (2023.20230311.66589-6) ...
Setting up libjs-underscore (1.13.4~dfsg+~1.11.4-3) ...
Setting up libbz2-dev:amd64 (1.0.8-5+b1) ...
Setting up libpotrace0:amd64 (1.16-2) ...
Setting up automake (1:1.16.5-1.3) ...
update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode
Setting up libfile-stripnondeterminism-perl (1.13.1-1) ...
Setting up libice6:amd64 (2:1.0.10-1) ...
Setting up libxdmcp6:amd64 (1:1.1.2-3) ...
Setting up flex (2.6.4-8.2) ...
Setting up tcl8.6 (8.6.13+dfsg-2) ...
Setting up libncurses-dev:amd64 (6.4+20230625-2) ...
Setting up libxcb1:amd64 (1.15-1) ...
Setting up gettext (0.21-13+b1) ...
Setting up libpdfbox-java (1:1.8.16-4) ...
Setting up libavif15:amd64 (0.11.1-3+b1) ...
Setting up txt2man (1.7.1-4) ...
Setting up libtool (2.4.7-7) ...
Setting up libxcb-render0:amd64 (1.15-1) ...
Setting up fontconfig-config (2.14.2-6) ...
Setting up libpython3.11-stdlib:amd64 (3.11.5-3) ...
Setting up tcl8.6-dev:amd64 (8.6.13+dfsg-2) ...
Setting up libcommons-parent-java (56-1) ...
Setting up libcommons-logging-java (1.2-3) ...
Setting up libnss3:amd64 (2:3.92-1) ...
Setting up libxcb-shm0:amd64 (1.15-1) ...
Setting up libreadline-dev:amd64 (8.2-1.3) ...
Setting up libldap-2.5-0:amd64 (2.5.13+dfsg-5) ...
Setting up pkgconf:amd64 (1.8.1-1) ...
Setting up intltool-debian (0.35.0+20060710.6) ...
Setting up dh-autoreconf (20) ...
Setting up libthai0:amd64 (0.1.29-1) ...
Setting up ca-certificates (20230311) ...
Updating certificates in /etc/ssl/certs...
140 added, 0 removed; done.
Setting up libptexenc1:amd64 (2023.20230311.66589-6) ...
Setting up libfreetype6:amd64 (2.13.2+dfsg-1) ...
Setting up pkg-config:amd64 (1.8.1-1) ...
Setting up ucf (3.0043+nmu1) ...
Setting up libjs-sphinxdoc (5.3.0-7) ...
Setting up dh-strip-nondeterminism (1.13.1-1) ...
Setting up libgvpr2:amd64 (2.42.2-7+b3) ...
Setting up dwz (0.15-1) ...
Setting up groff-base (1.23.0-2) ...
Setting up xml-core (0.18+nmu1) ...
Setting up tcl (8.6.13) ...
Setting up libx11-6:amd64 (2:1.8.6-1) ...
Setting up libharfbuzz0b:amd64 (8.0.1-1) ...
Setting up libfontconfig1:amd64 (2.14.2-6) ...
Setting up libsm6:amd64 (2:1.2.3-1) ...
Setting up libpython3-stdlib:amd64 (3.11.4-5+b1) ...
Setting up libpaper1:amd64 (1.1.29) ...

Creating config file /etc/papersize with new version
Setting up python3.11 (3.11.5-3) ...
Setting up fontconfig (2.14.2-6) ...
Regenerating fonts cache... done.
Setting up libxpm4:amd64 (1:3.5.12-1.1) ...
Setting up libxrender1:amd64 (1:0.9.10-1.1) ...
Setting up po-debconf (1.0.21+nmu1) ...
Setting up libpango-1.0-0:amd64 (1.51.0+ds-2) ...
Setting up libxext6:amd64 (2:1.3.4-1+b1) ...
Setting up libcurl3-gnutls:amd64 (8.3.0-1) ...
Setting up python3 (3.11.4-5+b1) ...
Setting up libpaper-utils (1.1.29) ...
Setting up xfonts-utils (1:7.7+6) ...
Setting up man-db (2.12.0-1) ...
Not building database; man-db/auto-update is not 'true'.
Setting up python3-markupsafe (2.1.3-1) ...
Setting up libcairo2:amd64 (1.18.0-1) ...
Setting up python3-tz (2023.3.post1-1) ...
Setting up python3-six (1.16.0-4) ...
Setting up tex-common (6.18) ...
update-language: texlive-base not installed and configured, doing nothing!
Setting up python3-roman (3.3-3) ...
Setting up python3-jinja2 (3.1.2-1) ...
Setting up python3-packaging (23.1-1) ...
Setting up python3-certifi (2022.9.24-1) ...
Setting up python3-snowballstemmer (2.2.0-4) ...
Setting up sphinx-common (5.3.0-7) ...
Setting up python3-idna (3.3-2) ...
Setting up git (1:2.40.1-1) ...
Setting up libxt6:amd64 (1:1.2.1-1.1) ...
Setting up libpoppler126:amd64 (22.12.0-2+b1) ...
Setting up tcl-dev:amd64 (8.6.13) ...
Setting up python3-urllib3 (1.26.16-1) ...
Setting up lmodern (2.005-1) ...
Setting up libpangoft2-1.0-0:amd64 (1.51.0+ds-2) ...
Setting up python3-lib2to3 (3.11.5-1) ...
Setting up tex-gyre (20180621-6) ...
Setting up libpangocairo-1.0-0:amd64 (1.51.0+ds-2) ...
Setting up python3-imagesize (1.4.1-1) ...
Setting up python3-pkg-resources (68.1.2-1) ...
Setting up python3-distutils (3.11.5-1) ...
Setting up dh-python (6.20230825) ...
Setting up libxmu6:amd64 (2:1.1.3-3) ...
Setting up libpoppler-glib8:amd64 (22.12.0-2+b1) ...
Setting up libxi6:amd64 (2:1.8-1+b1) ...
Setting up pdf2svg (0.2.3-4) ...
Setting up python3-babel (2.10.3-1) ...
update-alternatives: using /usr/bin/pybabel-python3 to provide /usr/bin/pybabel (pybabel) in auto mode
Setting up python3-charset-normalizer (3.2.0-1) ...
Setting up python3-alabaster (0.7.12-1) ...
Setting up preview-latex-style (12.2-1) ...
Setting up python3-latexcodec (2.0.1-2) ...
Setting up python3-yaml (6.0.1-1) ...
Setting up debhelper (13.11.6) ...
Setting up libxaw7:amd64 (2:1.0.14-1) ...
Setting up python3-pygments (2.15.1+dfsg-1) ...
Setting up python3-chardet (5.2.0+dfsg-1) ...
Setting up python3-requests (2.31.0+dfsg-1) ...
Setting up python3-pybtex (0.24.0-4) ...
Setting up texlive-binaries (2023.20230311.66589-6) ...
update-alternatives: using /usr/bin/xdvi-xaw to provide /usr/bin/xdvi.bin (xdvi.bin) in auto mode
update-alternatives: using /usr/bin/bibtex.original to provide /usr/bin/bibtex (bibtex) in auto mode
Setting up texlive-base (2023.20230613-3) ...
tl-paper: setting paper size for dvips to a4: /var/lib/texmf/dvips/config/config-paper.ps
tl-paper: setting paper size for dvipdfmx to a4: /var/lib/texmf/dvipdfmx/dvipdfmx-paper.cfg
tl-paper: setting paper size for xdvi to a4: /var/lib/texmf/xdvi/XDvi-paper
tl-paper: setting paper size for pdftex to a4: /var/lib/texmf/tex/generic/tex-ini-files/pdftexconfig.tex
Setting up texlive-plain-generic (2023.20230613-2) ...
Setting up texlive-lang-greek (2023.20230613-2) ...
Setting up texlive-font-utils (2023.20230613-2) ...
Setting up texlive-latex-base (2023.20230613-3) ...
Setting up texlive-fonts-extra (2023.20230613-2) ...
Setting up texlive-latex-recommended (2023.20230613-3) ...
Setting up texlive-pictures (2023.20230613-3) ...
Setting up texlive-fonts-recommended (2023.20230613-3) ...
Setting up texlive-publishers (2023.20230613-2) ...
Setting up texlive-science (2023.20230613-2) ...
Setting up latexmk (1:4.80-1) ...
Setting up texlive-latex-extra (2023.20230613-2) ...
Setting up texlive-bibtex-extra (2023.20230613-2) ...
Setting up libheif-plugin-dav1d:amd64 (1.16.2-2+b1) ...
Setting up libheif-plugin-libde265:amd64 (1.16.2-2+b1) ...
Setting up libheif1:amd64 (1.16.2-2+b1) ...
Setting up libgd3:amd64 (2.3.3-9) ...
Setting up libgvc6 (2.42.2-7+b3) ...
Setting up graphviz (2.42.2-7+b3) ...
Processing triggers for libc-bin (2.37-10) ...
Processing triggers for sgml-base (1.31) ...
Setting up docutils-common (0.19+dfsg-7) ...
Processing triggers for sgml-base (1.31) ...
Setting up python3-docutils (0.19+dfsg-7) ...
Setting up python3-pybtex-docutils (1.0.2-1) ...
Setting up python3-sphinx (5.3.0-7) ...
Setting up python3-sphinxcontrib.bibtex (2.5.0-2) ...
Setting up python3-sphinx-press-theme (0.8.0-2) ...
Setting up sbuild-build-depends-main-dummy (0.invalid.0) ...
Processing triggers for ca-certificates (20230311) ...
Updating certificates in /etc/ssl/certs...
0 added, 0 removed; done.
Running hooks in /etc/ca-certificates/update.d...
done.
Processing triggers for tex-common (6.18) ...
Running updmap-sys. This may take some time... done.
Running mktexlsr /var/lib/texmf ... done.
Building format(s) --all.
	This may take some time... done.

+------------------------------------------------------------------------------+
| Check architectures                                                          |
+------------------------------------------------------------------------------+

Arch check ok (amd64 included in any all)

+------------------------------------------------------------------------------+
| Build environment                                                            |
+------------------------------------------------------------------------------+

Kernel: Linux 6.1.0-12-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.1.52-1 (2023-09-07) amd64 (x86_64)
Toolchain package versions: binutils_2.41-5 dpkg-dev_1.22.0 g++-12_12.3.0-9 g++-13_13.2.0-4 gcc-12_12.3.0-9 gcc-13_13.2.0-4 libc6-dev_2.37-10 libstdc++-12-dev_12.3.0-9 libstdc++-13-dev_13.2.0-4 libstdc++6_13.2.0-4 linux-libc-dev_6.5.3-1
Package versions: adduser_3.137 apt_2.7.6 autoconf_2.71-3 automake_1:1.16.5-1.3 autopoint_0.21-13 autotools-dev_20220109.1 base-files_13 base-passwd_3.6.1 bash_5.2.15-2+b5 binutils_2.41-5 binutils-common_2.41-5 binutils-x86-64-linux-gnu_2.41-5 bison_2:3.8.2+dfsg-1+b1 bsdextrautils_2.39.2-1 bsdutils_1:2.39.2-1 build-essential_12.10 bzip2_1.0.8-5+b1 ca-certificates_20230311 coreutils_9.1-1 cpp_4:13.2.0-1 cpp-12_12.3.0-9 cpp-13_13.2.0-4 dash_0.5.12-6 debconf_1.5.82 debhelper_13.11.6 debian-archive-keyring_2023.4 debianutils_5.13 dh-autoreconf_20 dh-python_6.20230825 dh-strip-nondeterminism_1.13.1-1 diffutils_1:3.8-4 docutils-common_0.19+dfsg-7 dpkg_1.22.0 dpkg-dev_1.22.0 dwz_0.15-1 e2fsprogs_1.47.0-2+b1 fakeroot_1.32.1-1 file_1:5.45-2 findutils_4.9.0-5 flex_2.6.4-8.2 fontconfig_2.14.2-6 fontconfig-config_2.14.2-6 fonts-dejavu-core_2.37-8 fonts-dejavu-mono_2.37-8 fonts-gfs-baskerville_1.1-6 fonts-gfs-porson_1.1-7 fonts-lmodern_2.005-1 g++_4:13.2.0-1 g++-12_12.3.0-9 g++-13_13.2.0-4 gawk_1:5.2.1-2 gcc_4:13.2.0-1 gcc-12_12.3.0-9 gcc-12-base_12.3.0-9 gcc-13_13.2.0-4 gcc-13-base_13.2.0-4 gettext_0.21-13+b1 gettext-base_0.21-13+b1 git_1:2.40.1-1 git-man_1:2.40.1-1 gpgv_2.2.40-1.1 graphviz_2.42.2-7+b3 grep_3.11-3 groff-base_1.23.0-2 gzip_1.12-1 hostname_3.23+nmu1 init-system-helpers_1.65.2 intltool-debian_0.35.0+20060710.6 iverilog_12.0-2 latexmk_1:4.80-1 libabsl20220623_20220623.1-3 libacl1_2.3.1-3 libann0_1.1.2+doc-9+b1 libaom3_3.7.0~really3.6.1-1 libapache-pom-java_29-2 libapt-pkg6.0_2.7.6 libarchive-zip-perl_1.68-1 libasan8_13.2.0-4 libatomic1_13.2.0-4 libattr1_1:2.5.1-4 libaudit-common_1:3.1.1-1 libaudit1_1:3.1.1-1 libavif15_0.11.1-3+b1 libbinutils_2.41-5 libblkid1_2.39.2-1 libbrotli1_1.0.9-2+b6 libbsd0_0.11.7-4 libbz2-1.0_1.0.8-5+b1 libbz2-dev_1.0.8-5+b1 libc-bin_2.37-10 libc-dev-bin_2.37-10 libc6_2.37-10 libc6-dev_2.37-10 libcairo2_1.18.0-1 libcap-ng0_0.8.3-1+b3 libcap2_1:2.66-4 libcc1-0_13.2.0-4 libcdt5_2.42.2-7+b3 libcgraph6_2.42.2-7+b3 libcom-err2_1.47.0-2+b1 libcommons-logging-java_1.2-3 libcommons-parent-java_56-1 libcrypt-dev_1:4.4.36-2 libcrypt1_1:4.4.36-2 libctf-nobfd0_2.41-5 libctf0_2.41-5 libcurl3-gnutls_8.3.0-1 libdatrie1_0.2.13-2+b1 libdav1d6_1.2.1-2 libdb5.3_5.3.28+dfsg2-2 libde265-0_1.0.12-2 libdebconfclient0_0.271 libdebhelper-perl_13.11.6 libdeflate0_1.18-1 libdpkg-perl_1.22.0 libelf1_0.189-4 liberror-perl_0.17029-2 libexpat1_2.5.0-2 libext2fs2_1.47.0-2+b1 libfakeroot_1.32.1-1 libffi-dev_3.4.4-1 libffi8_3.4.4-1 libfile-stripnondeterminism-perl_1.13.1-1 libfontbox-java_1:1.8.16-4 libfontconfig1_2.14.2-6 libfontenc1_1:1.1.4-1 libfreetype6_2.13.2+dfsg-1 libfribidi0_1.0.13-3 libgav1-1_0.18.0-1+b1 libgcc-12-dev_12.3.0-9 libgcc-13-dev_13.2.0-4 libgcc-s1_13.2.0-4 libgcrypt20_1.10.2-3 libgd3_2.3.3-9 libgdbm-compat4_1.23-3 libgdbm6_1.23-3 libglib2.0-0_2.78.0-2 libgmp10_2:6.3.0+dfsg-2 libgnutls30_3.8.1-4+b1 libgomp1_13.2.0-4 libgpg-error0_1.47-2 libgprofng0_2.41-5 libgraphite2-3_1.3.14-1 libgssapi-krb5-2_1.20.1-4 libgts-0.7-5_0.7.6+darcs121130-5+b1 libgvc6_2.42.2-7+b3 libgvpr2_2.42.2-7+b3 libharfbuzz0b_8.0.1-1 libheif-plugin-dav1d_1.16.2-2+b1 libheif-plugin-libde265_1.16.2-2+b1 libheif1_1.16.2-2+b1 libhogweed6_3.9.1-2 libhwasan0_13.2.0-4 libice6_2:1.0.10-1 libicu72_72.1-3 libidn2-0_2.3.4-1+b1 libisl23_0.26-3 libitm1_13.2.0-4 libjansson4_2.14-2 libjbig0_2.1-6.1 libjpeg62-turbo_1:2.1.5-2 libjs-jquery_3.6.1+dfsg+~3.5.14-1 libjs-sphinxdoc_5.3.0-7 libjs-underscore_1.13.4~dfsg+~1.11.4-3 libjson-perl_4.10000-1 libk5crypto3_1.20.1-4 libkeyutils1_1.6.3-2 libkpathsea6_2023.20230311.66589-6 libkrb5-3_1.20.1-4 libkrb5support0_1.20.1-4 liblab-gamut1_2.42.2-7+b3 liblcms2-2_2.14-2 libldap-2.5-0_2.5.13+dfsg-5 liblerc4_4.0.0+ds-3 liblsan0_13.2.0-4 libltdl7_2.4.7-7 liblz4-1_1.9.4-1 liblzma5_5.4.4-0.1 libmagic-mgc_1:5.45-2 libmagic1_1:5.45-2 libmd0_1.1.0-1 libmount1_2.39.2-1 libmpc3_1.3.1-1 libmpfr6_4.2.1-1 libncurses-dev_6.4+20230625-2 libncurses6_6.4+20230625-2 libncursesw6_6.4+20230625-2 libnettle8_3.9.1-2 libnghttp2-14_1.56.0-1 libnsl-dev_1.3.0-2 libnsl2_1.3.0-2 libnspr4_2:4.35-1.1 libnss3_2:3.92-1 libopenjp2-7_2.5.0-2 libp11-kit0_0.25.0-4 libpam-modules_1.5.2-7 libpam-modules-bin_1.5.2-7 libpam-runtime_1.5.2-7 libpam0g_1.5.2-7 libpango-1.0-0_1.51.0+ds-2 libpangocairo-1.0-0_1.51.0+ds-2 libpangoft2-1.0-0_1.51.0+ds-2 libpaper-utils_1.1.29 libpaper1_1.1.29 libpathplan4_2.42.2-7+b3 libpcre2-8-0_10.42-4 libpdfbox-java_1:1.8.16-4 libperl5.36_5.36.0-9 libpipeline1_1.5.7-1 libpixman-1-0_0.42.2-1 libpkgconf3_1.8.1-1 libpng16-16_1.6.40-1 libpoppler-glib8_22.12.0-2+b1 libpoppler126_22.12.0-2+b1 libpotrace0_1.16-2 libpsl5_0.21.2-1+b1 libptexenc1_2023.20230311.66589-6 libpython3-stdlib_3.11.4-5+b1 libpython3.11-minimal_3.11.5-3 libpython3.11-stdlib_3.11.5-3 libquadmath0_13.2.0-4 librav1e0_0.6.6-3 libreadline-dev_8.2-1.3 libreadline8_8.2-1.3 librtmp1_2.4+20151223.gitfa8646d.1-2+b2 libsasl2-2_2.1.28+dfsg1-3 libsasl2-modules-db_2.1.28+dfsg1-3 libseccomp2_2.5.4-1+b3 libselinux1_3.5-1 libsemanage-common_3.5-1 libsemanage2_3.5-1 libsepol2_3.5-1 libsframe1_2.41-5 libsharpyuv0_1.3.2-0.3 libsigsegv2_2.14-1 libsm6_2:1.2.3-1 libsmartcols1_2.39.2-1 libsqlite3-0_3.43.1-1 libss2_1.47.0-2+b1 libssh2-1_1.11.0-2 libssl3_3.0.11-1 libstdc++-12-dev_12.3.0-9 libstdc++-13-dev_13.2.0-4 libstdc++6_13.2.0-4 libsub-override-perl_0.09-4 libsvtav1enc1d1_1.7.0+dfsg-2 libsynctex2_2023.20230311.66589-6 libsystemd0_254.4-1 libtasn1-6_4.19.0-3 libtcl8.6_8.6.13+dfsg-2 libteckit0_2.5.11+ds1-1+b1 libtexlua53-5_2023.20230311.66589-6 libthai-data_0.1.29-1 libthai0_0.1.29-1 libtiff6_4.5.1+git230720-1 libtinfo6_6.4+20230625-2 libtirpc-common_1.3.3+ds-1 libtirpc-dev_1.3.3+ds-1 libtirpc3_1.3.3+ds-1 libtool_2.4.7-7 libtsan2_13.2.0-4 libubsan1_13.2.0-4 libuchardet0_0.0.7-1 libudev1_254.4-1 libunistring2_1.0-2 libunistring5_1.1-2 libuuid1_2.39.2-1 libwebp7_1.3.2-0.3 libx11-6_2:1.8.6-1 libx11-data_2:1.8.6-1 libxau6_1:1.0.9-1 libxaw7_2:1.0.14-1 libxcb-render0_1.15-1 libxcb-shm0_1.15-1 libxcb1_1.15-1 libxdmcp6_1:1.1.2-3 libxext6_2:1.3.4-1+b1 libxi6_2:1.8-1+b1 libxml2_2.9.14+dfsg-1.3 libxmu6_2:1.1.3-3 libxpm4_1:3.5.12-1.1 libxrender1_1:0.9.10-1.1 libxt6_1:1.2.1-1.1 libxxhash0_0.8.2-2 libyaml-0-2_0.2.5-1 libyuv0_0.0~git20230907.cbfb661-1 libzstd1_1.5.5+dfsg2-2 libzzip-0-13_0.13.72+dfsg.1-1.1 linux-libc-dev_6.5.3-1 lmodern_2.005-1 login_1:4.13+dfsg1-1+b1 logsave_1.47.0-2+b1 m4_1.4.19-4 make_4.3-4.1 man-db_2.12.0-1 mawk_1.3.4.20230808-1 media-types_10.1.0 mount_2.39.2-1 ncurses-base_6.4+20230625-2 ncurses-bin_6.4+20230625-2 openssl_3.0.11-1 passwd_1:4.13+dfsg1-1+b1 patch_2.7.6-7 pdf2svg_0.2.3-4 perl_5.36.0-9 perl-base_5.36.0-9 perl-modules-5.36_5.36.0-9 pkg-config_1.8.1-1 pkgconf_1.8.1-1 pkgconf-bin_1.8.1-1 po-debconf_1.0.21+nmu1 preview-latex-style_12.2-1 python-babel-localedata_2.10.3-1 python3_3.11.4-5+b1 python3-alabaster_0.7.12-1 python3-babel_2.10.3-1 python3-certifi_2022.9.24-1 python3-chardet_5.2.0+dfsg-1 python3-charset-normalizer_3.2.0-1 python3-distutils_3.11.5-1 python3-docutils_0.19+dfsg-7 python3-idna_3.3-2 python3-imagesize_1.4.1-1 python3-jinja2_3.1.2-1 python3-latexcodec_2.0.1-2 python3-lib2to3_3.11.5-1 python3-markupsafe_2.1.3-1 python3-minimal_3.11.4-5+b1 python3-packaging_23.1-1 python3-pkg-resources_68.1.2-1 python3-pybtex_0.24.0-4 python3-pybtex-docutils_1.0.2-1 python3-pygments_2.15.1+dfsg-1 python3-requests_2.31.0+dfsg-1 python3-roman_3.3-3 python3-six_1.16.0-4 python3-snowballstemmer_2.2.0-4 python3-sphinx_5.3.0-7 python3-sphinx-press-theme_0.8.0-2 python3-sphinxcontrib.bibtex_2.5.0-2 python3-tz_2023.3.post1-1 python3-urllib3_1.26.16-1 python3-yaml_6.0.1-1 python3.11_3.11.5-3 python3.11-minimal_3.11.5-3 readline-common_8.2-1.3 rpcsvc-proto_1.4.3-1 sbuild-build-depends-main-dummy_0.invalid.0 sed_4.9-1 sensible-utils_0.0.20 sgml-base_1.31 sphinx-common_5.3.0-7 sysvinit-utils_3.08-1 t1utils_1.41-4 tar_1.34+dfsg-1.2 tcl_8.6.13 tcl-dev_8.6.13 tcl8.6_8.6.13+dfsg-2 tcl8.6-dev_8.6.13+dfsg-2 tex-common_6.18 tex-gyre_20180621-6 texlive-base_2023.20230613-3 texlive-bibtex-extra_2023.20230613-2 texlive-binaries_2023.20230311.66589-6 texlive-font-utils_2023.20230613-2 texlive-fonts-extra_2023.20230613-2 texlive-fonts-recommended_2023.20230613-3 texlive-lang-greek_2023.20230613-2 texlive-latex-base_2023.20230613-3 texlive-latex-extra_2023.20230613-2 texlive-latex-recommended_2023.20230613-3 texlive-pictures_2023.20230613-3 texlive-plain-generic_2023.20230613-2 texlive-publishers_2023.20230613-2 texlive-science_2023.20230613-2 txt2man_1.7.1-4 tzdata_2023c-10 ucf_3.0043+nmu1 usr-is-merged_37 util-linux_2.39.2-1 util-linux-extra_2.39.2-1 x11-common_1:7.7+23 xdg-utils_1.1.3-4.1 xfonts-encodings_1:1.0.4-2.2 xfonts-utils_1:7.7+6 xml-core_0.18+nmu1 xz-utils_5.4.4-0.1 zlib1g_1:1.2.13.dfsg-3 zlib1g-dev_1:1.2.13.dfsg-3

+------------------------------------------------------------------------------+
| Build                                                                        |
+------------------------------------------------------------------------------+


Unpack source
-------------

Format: 3.0 (quilt)
Source: yosys
Binary: yosys, yosys-dev, yosys-abc, yosys-doc
Architecture: any all
Version: 0.33-5
Maintainer: Debian Science Maintainers <debian-science-maintainers at lists.alioth.debian.org>
Uploaders: Ruben Undheim <ruben.undheim at gmail.com>, Sebastian Kuzminsky <seb at highlab.com>, Daniel Gröber <dxld at darkboxed.org>
Homepage: https://github.com/YosysHQ/yosys
Standards-Version: 4.6.2
Vcs-Browser: https://salsa.debian.org/science-team/yosys
Vcs-Git: https://salsa.debian.org/science-team/yosys.git
Testsuite: autopkgtest
Testsuite-Triggers: g++, gawk, gcc, iverilog, pkg-config, tcl
Build-Depends: debhelper-compat (= 13), dh-python, tcl-dev, libreadline-dev, libbz2-dev, zlib1g-dev, bison, flex, gawk, git, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3
Build-Depends-Indep: texlive-base, texlive-plain-generic, texlive-fonts-recommended, texlive-fonts-extra, texlive-latex-base, texlive-latex-extra, texlive-font-utils, texlive-science, texlive-publishers, texlive-bibtex-extra, tex-gyre, latexmk, lmodern, graphviz, pdf2svg, python3-sphinx, python3-sphinx-press-theme, python3-sphinxcontrib.bibtex
Package-List:
 yosys deb electronics optional arch=any
 yosys-abc deb electronics optional arch=any
 yosys-dev deb electronics optional arch=any
 yosys-doc deb doc optional arch=all
Checksums-Sha1:
 00989e162bacde6d034f2fdf1c3bf36733ef0f70 6161029 yosys_0.33.orig-abc.tar.gz
 b9424aa45c0600f4fc0775453a7ebc5b4feec2f4 2586120 yosys_0.33.orig.tar.gz
 37bc91d39f4bf451fad189ed1f2fe38cec389bbb 30100 yosys_0.33-5.debian.tar.xz
Checksums-Sha256:
 6559115f2bbf4f1aac86ae4edbae416e8c60b8998bb3ac552451f4283bf6a5a7 6161029 yosys_0.33.orig-abc.tar.gz
 c240fa4fcc71c73b8989ab500f7bfa3109436fa1d7ba8d7e1028af4c42688f29 2586120 yosys_0.33.orig.tar.gz
 268f618d6531f269f296c88fc6636301336e910a3508a997dd0b5434709ff597 30100 yosys_0.33-5.debian.tar.xz
Files:
 c0e0a1069973b836a3838649e4df6714 6161029 yosys_0.33.orig-abc.tar.gz
 3e2ec8eb6b96250830f8c01a72055441 2586120 yosys_0.33.orig.tar.gz
 ea0e202dc8df4d0df04a98b15aac442d 30100 yosys_0.33-5.debian.tar.xz

dpkg-source: warning: extracting unsigned source package (yosys_0.33-5.dsc)
dpkg-source: info: extracting yosys in /<<PKGBUILDDIR>>
dpkg-source: info: unpacking yosys_0.33.orig.tar.gz
dpkg-source: info: unpacking yosys_0.33.orig-abc.tar.gz
dpkg-source: info: unpacking yosys_0.33-5.debian.tar.xz
dpkg-source: info: using patch list from debian/patches/series
dpkg-source: info: applying abc/remove_bzlib_convenience.patch
dpkg-source: info: applying abc/remove_zlib_convenience.patch
dpkg-source: info: applying abc/cflags_ldflags.patch
dpkg-source: info: applying abc/writepla.patch
dpkg-source: info: applying abc/0006-Fix-spelling-errors.patch
dpkg-source: info: applying abc/0007-Fix-repro-on-armhf-vs-aarch64.patch
dpkg-source: info: applying abc/0007-Remove-build-date-time-reproducibility-hazard.patch
dpkg-source: info: applying switch-to-free-font.patch
dpkg-source: info: applying kfreebsd-support.patch
dpkg-source: info: applying 0007-Disable-pretty-build.patch
dpkg-source: info: applying 0009-Some-spelling-errors-fixed.patch
dpkg-source: info: applying 0010-Fix-adding-of-sys.path-in-yosys-smtbmc.patch
dpkg-source: info: applying 0011-Do-not-show-g-build-flags-in-Version-string.patch
dpkg-source: info: applying 0017-Support-plugin-loading-from-libdir.patch
dpkg-source: info: applying 0018-Fix-autotest-compliation.patch
dpkg-source: info: applying 0020-autotest-Print-log-on-error.patch
dpkg-source: info: applying 0021-Fix-global-cache-destruction-in-IdString-class.patch
dpkg-source: info: applying 0023-Use-SOURCE-DATE-EPOCH-for-docs.patch
dpkg-source: info: applying 0025-Remove-emoji-causing-latex-errors.patch
dpkg-source: info: applying 0026-Quiet-write-rst-command-ref-manual.patch

Check disk space
----------------

Sufficient free space for build

User Environment
----------------

APT_CONFIG=/var/lib/sbuild/apt.conf
HOME=/sbuild-nonexistent
LANG=en_GB.UTF-8
LC_ALL=C.UTF-8
LOGNAME=dxld
PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games
SCHROOT_ALIAS_NAME=unstable-amd64
SCHROOT_CHROOT_NAME=unstable-amd64
SCHROOT_COMMAND=env
SCHROOT_GID=1000
SCHROOT_GROUP=dxld
SCHROOT_SESSION_ID=unstable-amd64-258afce0-41e5-44e4-bd38-109383569909
SCHROOT_UID=1000
SCHROOT_USER=dxld
SHELL=/bin/sh
USER=dxld
XDG_DATA_DIRS=/home/dxld/share/dotfiles/applications:/usr/local/share/:/usr/share/
XDG_DATA_HOME=/home/dxld/var/data

dpkg-buildpackage
-----------------

Command: dpkg-buildpackage --sanitize-env -us -uc -rfakeroot
dpkg-buildpackage: info: source package yosys
dpkg-buildpackage: info: source version 0.33-5
dpkg-buildpackage: info: source distribution unstable
dpkg-buildpackage: info: source changed by Daniel Gröber <dxld at darkboxed.org>
 dpkg-source --before-build .
dpkg-buildpackage: info: host architecture amd64
dpkg-source: info: using options from yosys-0.33/debian/source/options: --extend-diff-ignore=(^|/)(config\.sub|config\.guess|install-sh|configure|depcomp|missing|aclocal\.m4|config\.h\.in)$
 debian/rules clean
PREFIX=/usr dh clean --with=python3
   dh_auto_clean
	make -j16 clean
make[1]: Entering directory '/<<PKGBUILDDIR>>'
rm -rf share
rm -rf kernel/*.pyh
rm -f kernel/version_2584903a060.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o frontends/rtlil/rtlil_parser.tab.cc frontends/rtlil/rtlil_parser.tab.hh frontends/rtlil/rtlil_parser.output frontends/rtlil/rtlil_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc yosys-witness share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/binding.h share/include/kernel/register.h share/include/kernel/cellaigs.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/constids.inc share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/kernel/qcsat.h share/include/kernel/ff.h share/include/kernel/ffinit.h share/include/kernel/fstdata.h share/include/kernel/mem.h share/include/kernel/yw.h share/include/kernel/json.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/fst/fstapi.h share/include/libs/sha1/sha1.h share/include/libs/json11/json11.hpp share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/frontends/ast/ast_binding.h share/include/frontends/blif/blifparse.h share/include/backends/rtlil/rtlil_backend.h share/include/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/cxxrtl_capi.cc share/include/backends/cxxrtl/cxxrtl_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.h share/python3/smtio.py share/python3/ywio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/lutrams.txt share/anlogic/lutrams_map.v share/anlogic/brams.txt share/anlogic/brams_map.v share/simlib.v share/simcells.v share/techmap.v share/smtmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/mul2dsp.v share/abc9_model.v share/abc9_map.v share/abc9_unmap.v share/cmp2lcu.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/cells_counter_map.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_ff.vh share/ecp5/cells_io.vh share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/lutrams_map.v share/ecp5/lutrams.txt share/ecp5/brams_map.v share/ecp5/brams.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/dsp_map.v share/efinix/cells_map.v share/efinix/arith_map.v share/efinix/cells_sim.v share/efinix/brams_map.v share/efinix/gbuf_map.v share/efinix/brams.txt share/fabulous/cells_map.v share/fabulous/prims.v share/fabulous/latches_map.v share/fabulous/ff_map.v share/fabulous/ram_regfile.txt share/fabulous/regfile_map.v share/fabulous/io_map.v share/fabulous/arith_map.v share/gatemate/reg_map.v share/gatemate/mux_map.v share/gatemate/lut_map.v share/gatemate/mul_map.v share/gatemate/arith_map.v share/gatemate/cells_sim.v share/gatemate/cells_bb.v share/gatemate/brams_map.v share/gatemate/brams.txt share/gatemate/brams_init_20.vh share/gatemate/brams_init_40.vh share/gatemate/inv_map.v share/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_map.v share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/cells_xtra.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/brams.txt share/gowin/lutrams_map.v share/gowin/lutrams.txt share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/ff_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/spram.txt share/ice40/spram_map.v share/ice40/dsp_map.v share/ice40/abc9_model.v share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams_m9k.txt share/intel/common/brams_map_m9k.v share/intel/common/ff_map.v share/intel/max10/cells_sim.v share/intel/cyclone10lp/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/cyclone10lp/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_model.v share/intel_alm/common/alm_map.v share/intel_alm/common/alm_sim.v share/intel_alm/common/arith_alm_map.v share/intel_alm/common/dff_map.v share/intel_alm/common/dff_sim.v share/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_map.v share/intel_alm/common/mem_sim.v share/intel_alm/common/misc_sim.v share/intel_alm/cyclonev/cells_sim.v share/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k_map.v share/intel_alm/common/lutram_mlab.txt share/intel_alm/common/megafunction_bb.v share/intel_alm/common/quartus_rename.v share/lattice/cells_ff.vh share/lattice/cells_io.vh share/lattice/cells_map.v share/lattice/common_sim.vh share/lattice/ccu2d_sim.vh share/lattice/ccu2c_sim.vh share/lattice/cells_sim_ecp5.v share/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3d.v share/lattice/cells_bb_ecp5.v share/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3d.v share/lattice/lutrams_map.v share/lattice/lutrams.txt share/lattice/brams_map_16kd.v share/lattice/brams_16kd.txt share/lattice/brams_map_8kc.v share/lattice/brams_8kc.txt share/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2d.v share/lattice/latches_map.v share/lattice/dsp_map_18x18.v share/nexus/cells_map.v share/nexus/cells_sim.v share/nexus/parse_init.vh share/nexus/cells_xtra.v share/nexus/lutrams_map.v share/nexus/lutrams.txt share/nexus/brams_map.v share/nexus/brams.txt share/nexus/lrams_map.v share/nexus/lrams.txt share/nexus/arith_map.v share/nexus/latches_map.v share/nexus/dsp_map.v share/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_lut_map.v share/quicklogic/pp3_latches_map.v share/quicklogic/pp3_cells_map.v share/quicklogic/cells_sim.v share/quicklogic/lut_sim.v share/quicklogic/pp3_cells_sim.v share/quicklogic/abc9_model.v share/quicklogic/abc9_map.v share/quicklogic/abc9_unmap.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xc5v_map.v share/xilinx/brams_xcv.txt share/xilinx/brams_xcv_map.v share/xilinx/brams_defs.vh share/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v_map.v share/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v_map.v share/xilinx/brams_xc5v_map.v share/xilinx/brams_xc6v_map.v share/xilinx/brams_xcu_map.v share/xilinx/urams.txt share/xilinx/urams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/mux_map.v share/xilinx/xc3s_mult_map.v share/xilinx/xc3sda_dsp_map.v share/xilinx/xc6s_dsp_map.v share/xilinx/xc4v_dsp_map.v share/xilinx/xc5v_dsp_map.v share/xilinx/xc7_dsp_map.v share/xilinx/xcu_dsp_map.v share/xilinx/abc9_model.v passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h passes/techmap/filterlib.o techlibs/gatemate/lut_tree_lib.mk  .cc
rm -f kernel/version_*.o kernel/version_*.cc
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
rm -rf tests/asicworld/*.out tests/asicworld/*.log
rm -rf tests/hana/*.out tests/hana/*.log
rm -rf tests/simple/*.out tests/simple/*.log
rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp tests/various/temp
rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff
rm -f  tests/tools/cmp_tbdata
make -C docs clean
make[2]: Entering directory '/<<PKGBUILDDIR>>/docs'
rm -rf build/*
make[2]: Leaving directory '/<<PKGBUILDDIR>>/docs'
make -C docs/images clean
make[2]: Entering directory '/<<PKGBUILDDIR>>/docs/images'
rm -f *.log
rm -f *.aux
rm -f  011/*.log 011/*.aux
rm -f *.pdf
rm -f *.svg
rm -f 011/*.pdf 011/*.svg
make[2]: Leaving directory '/<<PKGBUILDDIR>>/docs/images'
rm -rf docs/source/cmd docs/util/__pycache__
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   debian/rules execute_after_dh_auto_clean
make[1]: Entering directory '/<<PKGBUILDDIR>>'
rm -f debian/man/*.1
git --git-dir=debian/git-repo init
hint: Using 'master' as the name for the initial branch. This default branch name
hint: is subject to change. To configure the initial branch name to use in all
hint: of your new repositories, which will suppress this warning, call:
hint: 
hint: 	git config --global init.defaultBranch <name>
hint: 
hint: Names commonly chosen instead of 'master' are 'main', 'trunk' and
hint: 'development'. The just-created branch can be renamed via this command:
hint: 
hint: 	git branch -m <name>
Initialized empty Git repository in /<<PKGBUILDDIR>>/debian/git-repo/
git --git-dir=debian/git-repo --work-tree=. clean -Xdf \
	-e '!/abc' \
	-e '!/tests/simple_abc9/abc9.v'
rm -rf debian/git-repo
rm -f abc/abc-*
# Help with transition from earlier versions of this package
rm -rf debian/yosys-src.substvars debian/yosys-src/
rm -rf debian/yosys-tests.substvars debian/yosys-tests/
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_clean
	rm -f debian/debhelper-build-stamp
	rm -rf debian/.debhelper/
	rm -f -- debian/yosys.substvars debian/yosys-dev.substvars debian/yosys-abc.substvars debian/yosys-doc.substvars debian/files
	rm -fr -- debian/yosys/ debian/tmp/ debian/yosys-dev/ debian/yosys-abc/ debian/yosys-doc/
	find .  \( \( \
		\( -path .\*/.git -o -path .\*/.svn -o -path .\*/.bzr -o -path .\*/.hg -o -path .\*/CVS -o -path .\*/.pc -o -path .\*/_darcs \) -prune -o -type f -a \
	        \( -name '#*#' -o -name '.*~' -o -name '*~' -o -name DEADJOE \
		 -o -name '*.orig' -o -name '*.rej' -o -name '*.bak' \
		 -o -name '.*.orig' -o -name .*.rej -o -name '.SUMS' \
		 -o -name TAGS -o \( -path '*/.deps/*' -a -name '*.P' \) \
		\) -exec rm -f {} + \) -o \
		\( -type d -a -name autom4te.cache -prune -exec rm -rf {} + \) \)
 dpkg-source -b .
dpkg-source: info: using options from yosys-0.33/debian/source/options: --extend-diff-ignore=(^|/)(config\.sub|config\.guess|install-sh|configure|depcomp|missing|aclocal\.m4|config\.h\.in)$
dpkg-source: info: using source format '3.0 (quilt)'
dpkg-source: info: building yosys using existing ./yosys_0.33.orig-abc.tar.gz
dpkg-source: info: building yosys using existing ./yosys_0.33.orig.tar.gz
dpkg-source: info: using patch list from debian/patches/series
dpkg-source: info: building yosys in yosys_0.33-5.debian.tar.xz
dpkg-source: info: building yosys in yosys_0.33-5.dsc
 debian/rules binary
PREFIX=/usr dh binary --with=python3
   dh_update_autotools_config
   dh_autoreconf
   debian/rules override_dh_auto_configure
make[1]: Entering directory '/<<PKGBUILDDIR>>'
printf '%s\n' \
  'CONFIG := gcc' \
  'ABCPULL=0' \
  'STRIP=:' \
  > Makefile.conf
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   debian/rules override_dh_auto_build-arch
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_auto_build -- all
	make -j16 "INSTALL=install --strip-program=true" all
make[2]: Entering directory '/<<PKGBUILDDIR>>'
[Makefile.conf] CONFIG := gcc
[Makefile.conf] ABCPULL=0
[Makefile.conf] STRIP=:
rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
mkdir -p kernel/
mkdir -p techlibs/common
mkdir -p techlibs/common
mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.33 (git sha1 2584903a060)\"; }" > kernel/version_2584903a060.cc
gcc -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc
python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new
mkdir -p kernel/
python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new
mkdir -p kernel/
mkdir -p kernel/
mkdir -p kernel/
gcc -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc
mkdir -p kernel/
gcc -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc
gcc -o kernel/calc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc
gcc -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' kernel/yosys.cc
gcc -o kernel/binding.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc
mkdir -p kernel/
mkdir -p kernel/
mkdir -p kernel/
mkdir -p kernel/
gcc -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc
mkdir -p kernel/
mkdir -p kernel/
gcc -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc
gcc -o kernel/satgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc
gcc -o kernel/qcsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc
gcc -o kernel/mem.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc
gcc -o kernel/ffmerge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc
mkdir -p kernel/
mkdir -p kernel/
gcc -o kernel/ff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc
gcc -o kernel/yw.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/yw.cc
mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc
mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc
mkdir -p kernel/
gcc -o kernel/json.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/json.cc
mkdir -p kernel/
gcc -o kernel/fmt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fmt.cc
mkdir -p kernel/
gcc -o kernel/fstdata.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigIntegerAlgorithms.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigInteger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigIntegerUtils.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigUnsigned.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc
mkdir -p libs/bigint/
gcc -o libs/bigint/BigUnsignedInABase.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc
mkdir -p libs/sha1/
gcc -o libs/sha1/sha1.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp
mkdir -p libs/json11/
gcc -o libs/json11/json11.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp
mkdir -p libs/subcircuit/
gcc -o libs/subcircuit/subcircuit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc
mkdir -p libs/ezsat/
gcc -o libs/ezsat/ezsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc
mkdir -p libs/ezsat/
gcc -o libs/ezsat/ezminisat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc
mkdir -p libs/minisat/
gcc -o libs/minisat/Options.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc
mkdir -p libs/minisat/
gcc -o libs/minisat/SimpSolver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc
In file included from libs/minisat/Sort.h:24,
                 from libs/minisat/SimpSolver.cc:27:
libs/minisat/Vec.h: In instantiation of ‘void Minisat::vec<T, _Size>::capacity(Size) [with T = Minisat::vec<unsigned int>; _Size = int; Size = int]’:
libs/minisat/Vec.h:119:13:   required from ‘void Minisat::vec<T, _Size>::growTo(Size) [with T = Minisat::vec<unsigned int>; _Size = int; Size = int]’
libs/minisat/IntMap.h:48:58:   required from ‘void Minisat::IntMap<K, V, MkIndex>::reserve(K) [with K = int; V = Minisat::vec<unsigned int>; MkIndex = Minisat::MkIndexDefault<int>]’
libs/minisat/SolverTypes.h:338:49:   required from ‘void Minisat::OccLists<K, Vec, Deleted, MkIndex>::init(const K&) [with K = int; Vec = Minisat::vec<unsigned int>; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault<int>]’
libs/minisat/SimpSolver.cc:92:26:   required from here
libs/minisat/Vec.h:103:33: warning: ‘void* realloc(void*, size_t)’ moving an object of non-trivially copyable type ‘class Minisat::vec<unsigned int>’; use ‘new’ and ‘delete’ instead [-Wclass-memaccess]
  103 |     ||   (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) )
      |                        ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
libs/minisat/Vec.h:39:7: note: ‘class Minisat::vec<unsigned int>’ declared here
   39 | class vec {
      |       ^~~
mkdir -p libs/minisat/
gcc -o libs/minisat/Solver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc
mkdir -p libs/minisat/
gcc -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc
mkdir -p libs/fst/
gcc -o libs/fst/fstapi.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc
mkdir -p libs/fst/
gcc -o libs/fst/fastlz.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc
In file included from libs/minisat/Alg.h:24,
                 from libs/minisat/Solver.cc:29:
libs/minisat/Vec.h: In instantiation of ‘void Minisat::vec<T, _Size>::capacity(Size) [with T = Minisat::vec<Minisat::Solver::Watcher>; _Size = int; Size = int]’:
libs/minisat/Vec.h:119:13:   required from ‘void Minisat::vec<T, _Size>::growTo(Size) [with T = Minisat::vec<Minisat::Solver::Watcher>; _Size = int; Size = int]’
libs/minisat/IntMap.h:48:58:   required from ‘void Minisat::IntMap<K, V, MkIndex>::reserve(K) [with K = Minisat::Lit; V = Minisat::vec<Minisat::Solver::Watcher>; MkIndex = Minisat::MkIndexLit]’
libs/minisat/SolverTypes.h:338:49:   required from ‘void Minisat::OccLists<K, Vec, Deleted, MkIndex>::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec<Minisat::Solver::Watcher>; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]’
libs/minisat/Solver.cc:134:19:   required from here
libs/minisat/Vec.h:103:33: warning: ‘void* realloc(void*, size_t)’ moving an object of non-trivially copyable type ‘class Minisat::vec<Minisat::Solver::Watcher>’; use ‘new’ and ‘delete’ instead [-Wclass-memaccess]
  103 |     ||   (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) )
      |                        ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
libs/minisat/Vec.h:39:7: note: ‘class Minisat::vec<Minisat::Solver::Watcher>’ declared here
   39 | class vec {
      |       ^~~
mkdir -p libs/fst/
gcc -o libs/fst/lz4.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc
mkdir -p frontends/aiger/
gcc -o frontends/aiger/aigerparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/simplify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/genrtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/dpicall.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc
mkdir -p frontends/ast/
gcc -o frontends/ast/ast_binding.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc
mkdir -p frontends/blif/
gcc -o frontends/blif/blifparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc
mkdir -p frontends/json/
gcc -o frontends/json/jsonparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc
mkdir -p frontends/liberty/
gcc -o frontends/liberty/liberty.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc
mkdir -p frontends/rpc/
gcc -o frontends/rpc/rpc_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc
mkdir -p frontends/rtlil/
bison -o frontends/rtlil/rtlil_parser.tab.cc -d -r all -b frontends/rtlil/rtlil_parser frontends/rtlil/rtlil_parser.y
mkdir -p frontends/rtlil/
flex -o frontends/rtlil/rtlil_lexer.cc frontends/rtlil/rtlil_lexer.l
mkdir -p frontends/rtlil/
gcc -o frontends/rtlil/rtlil_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc
mkdir -p frontends/verific/
gcc -o frontends/verific/verific.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc
mkdir -p frontends/verilog/
bison -Wall -Werror -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y
mkdir -p frontends/verilog/
gcc -o frontends/verilog/preproc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc
mkdir -p frontends/verilog/
gcc -o frontends/verilog/verilog_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc
mkdir -p frontends/verilog/
gcc -o frontends/verilog/const2ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/exec.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/delete.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/design.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/select.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/show.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/viz.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/viz.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/rename.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/autoname.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/connect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/scatter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/setundef.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/splitnets.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/splitcells.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitcells.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/stat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/setattr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/copy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/splice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/scc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/glift.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/torder.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/logcmd.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/tee.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/write_file.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc
kernel/satgen.cc: In member function ‘Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)’:
kernel/satgen.cc:1240:67: warning: ‘undef_srst’ may be used uninitialized [-Wmaybe-uninitialized]
 1240 |                                         std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d);
      |                                                                ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/satgen.cc:1231:37: note: ‘undef_srst’ was declared here
 1231 |                                 int undef_srst;
      |                                     ^~~~~~~~~~
kernel/satgen.cc:1254:67: warning: ‘undef_ce’ may be used uninitialized [-Wmaybe-uninitialized]
 1254 |                                         std::tie(d, undef_d) = mux(ce, undef_ce, d, undef_d, old_q, undef_old_q);
      |                                                                ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/satgen.cc:1245:37: note: ‘undef_ce’ was declared here
 1245 |                                 int undef_ce;
      |                                     ^~~~~~~~
kernel/satgen.cc:1268:67: warning: ‘undef_srst’ may be used uninitialized [-Wmaybe-uninitialized]
 1268 |                                         std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d);
      |                                                                ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
kernel/satgen.cc:1259:37: note: ‘undef_srst’ was declared here
 1259 |                                 int undef_srst;
      |                                     ^~~~~~~~~~
mkdir -p passes/cmds/
gcc -o passes/cmds/connwrappers.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/cover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/trace.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/plugin.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/check.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/qwp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/edgetypes.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/portlist.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/chformal.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/chtype.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/blackbox.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/ltp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/bugpoint.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/scratchpad.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/logger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/printattrs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/sta.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/clean_zerowidth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc
mkdir -p passes/cmds/
gcc -o passes/cmds/xprop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/xprop.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_make.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_simple.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_status.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_remove.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_induct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_struct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_purge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_mark.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_detect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_extract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_expand.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_recode.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_info.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_export.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc
mkdir -p passes/hierarchy/
gcc -o passes/hierarchy/hierarchy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc
mkdir -p passes/hierarchy/
gcc -o passes/hierarchy/uniquify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc
mkdir -p passes/hierarchy/
gcc -o passes/hierarchy/submod.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_collect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_unpack.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_bram.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_memx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_nordff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_narrow.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_libmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc
mkdir -p passes/memory/
gcc -o passes/memory/memory_bmux2rom.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc
mkdir -p passes/memory/
gcc -o passes/memory/memlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_merge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_mem.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_mem_feedback.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_mem_priority.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_mem_widen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_muxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_reduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_expr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc
mkdir -p passes/opt/
gcc -o passes/opt/share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc
mkdir -p passes/opt/
gcc -o passes/opt/wreduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_demorgan.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc
mkdir -p passes/opt/
gcc -o passes/opt/rmports.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_lut.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_lut_ins.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc
mkdir -p passes/opt/
gcc -o passes/opt/opt_ffinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc
mkdir -p passes/opt/
gcc -o passes/opt/pmux2shiftx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc
mkdir -p passes/opt/
gcc -o passes/opt/muxpack.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/test_pmgen_pm.h -p test_pmgen passes/pmgen/test_pmgen.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul.pmg passes/pmgen/peepopt_muldiv.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_srl_pm.h -p xilinx_srl passes/pmgen/xilinx_srl.pmg
mkdir -p passes/pmgen/
gcc -o passes/pmgen/ice40_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_wrapcarry_pm.h -p ice40_wrapcarry passes/pmgen/ice40_wrapcarry.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_pm.h -p xilinx_dsp passes/pmgen/xilinx_dsp.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp48a_pm.h -p xilinx_dsp48a passes/pmgen/xilinx_dsp48a.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_CREG_pm.h -p xilinx_dsp_CREG passes/pmgen/xilinx_dsp_CREG.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_cascade_pm.h -p xilinx_dsp_cascade passes/pmgen/xilinx_dsp_cascade.pmg
mkdir -p passes/pmgen/
gcc -o passes/pmgen/peepopt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc
mkdir -p passes/pmgen/
gcc -o passes/pmgen/xilinx_srl.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_srl.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_prune.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_rmdead.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_init.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_arst.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_rom.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_mux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_dlatch.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc
mkdir -p passes/proc/
gcc -o passes/proc/proc_memwr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc
mkdir -p passes/sat/
gcc -o passes/sat/sat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc
mkdir -p passes/sat/
gcc -o passes/sat/freduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc
mkdir -p passes/sat/
gcc -o passes/sat/eval.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc
mkdir -p passes/sat/
gcc -o passes/sat/sim.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc
mkdir -p passes/sat/
gcc -o passes/sat/miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc
mkdir -p passes/sat/
gcc -o passes/sat/expose.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc
mkdir -p passes/sat/
gcc -o passes/sat/assertpmux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc
mkdir -p passes/sat/
gcc -o passes/sat/clk2fflogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc
mkdir -p passes/sat/
gcc -o passes/sat/async2sync.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc
mkdir -p passes/sat/
gcc -o passes/sat/formalff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc
mkdir -p passes/sat/
gcc -o passes/sat/supercover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc
mkdir -p passes/sat/
gcc -o passes/sat/fmcombine.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc
mkdir -p passes/sat/
gcc -o passes/sat/mutate.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc
mkdir -p passes/sat/
gcc -o passes/sat/cutpoint.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc
mkdir -p passes/sat/
gcc -o passes/sat/fminit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc
mkdir -p passes/sat/
gcc -o passes/sat/recover_names.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/recover_names.cc
mkdir -p passes/sat/
gcc -o passes/sat/qbfsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc
mkdir -p passes/sat/
gcc -o passes/sat/synthprop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/flatten.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/techmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/simplemap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/dfflibmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/maccmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/libparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/abc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/abc9.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/abc9_exe.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_exe.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/abc9_ops.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/iopadmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/clkbufmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/hilomap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/extract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/extract_fa.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/extract_counter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/extract_reduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/alumacc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/dffinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/pmuxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/bmuxmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/demuxmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/bwmuxmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bwmuxmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/muxcover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/aigmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/tribuf.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/lut2mux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/nlutmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/shregmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/deminout.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/insbuf.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/attrmvcp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/attrmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/zinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/dfflegalize.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/dffunmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/flowmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc
mkdir -p passes/techmap/
gcc -o passes/techmap/extractinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc
mkdir -p passes/tests/
gcc -o passes/tests/test_autotb.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc
mkdir -p passes/tests/
gcc -o passes/tests/test_cell.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc
mkdir -p passes/tests/
gcc -o passes/tests/test_abcloop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc
mkdir -p backends/aiger/
gcc -o backends/aiger/aiger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc
mkdir -p backends/aiger/
gcc -o backends/aiger/xaiger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc
mkdir -p backends/blif/
gcc -o backends/blif/blif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc
mkdir -p backends/btor/
gcc -o backends/btor/btor.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc
mkdir -p backends/cxxrtl/
gcc -o backends/cxxrtl/cxxrtl_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc
mkdir -p backends/edif/
gcc -o backends/edif/edif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc
mkdir -p backends/firrtl/
gcc -o backends/firrtl/firrtl.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc
mkdir -p backends/intersynth/
gcc -o backends/intersynth/intersynth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc
mkdir -p backends/jny/
gcc -o backends/jny/jny.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc
mkdir -p backends/json/
gcc -o backends/json/json.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc
mkdir -p backends/rtlil/
gcc -o backends/rtlil/rtlil_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc
mkdir -p backends/simplec/
gcc -o backends/simplec/simplec.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc
mkdir -p backends/smt2/
gcc -o backends/smt2/smt2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc
mkdir -p backends/smv/
gcc -o backends/smv/smv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc
mkdir -p backends/spice/
gcc -o backends/spice/spice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc
mkdir -p backends/table/
gcc -o backends/table/table.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc
mkdir -p backends/verilog/
gcc -o backends/verilog/verilog_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc
mkdir -p techlibs/achronix/
gcc -o techlibs/achronix/synth_achronix.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc
mkdir -p techlibs/anlogic/
gcc -o techlibs/anlogic/synth_anlogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc
mkdir -p techlibs/anlogic/
gcc -o techlibs/anlogic/anlogic_eqn.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc
mkdir -p techlibs/anlogic/
gcc -o techlibs/anlogic/anlogic_fixcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc
mkdir -p techlibs/common/
gcc -o techlibs/common/synth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc
mkdir -p techlibs/common/
gcc -o techlibs/common/prep.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc
mkdir -p techlibs/coolrunner2/
gcc -o techlibs/coolrunner2/synth_coolrunner2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc
mkdir -p techlibs/coolrunner2/
gcc -o techlibs/coolrunner2/coolrunner2_sop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc
mkdir -p techlibs/coolrunner2/
gcc -o techlibs/coolrunner2/coolrunner2_fixup.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc
mkdir -p techlibs/easic/
gcc -o techlibs/easic/synth_easic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc
mkdir -p techlibs/ecp5/
gcc -o techlibs/ecp5/synth_ecp5.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc
mkdir -p techlibs/efinix/
gcc -o techlibs/efinix/synth_efinix.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc
mkdir -p techlibs/efinix/
gcc -o techlibs/efinix/efinix_fixcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc
mkdir -p techlibs/fabulous/
mkdir -p techlibs/gatemate/
gcc -o techlibs/fabulous/synth_fabulous.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/fabulous/synth_fabulous.cc
gcc -o techlibs/gatemate/synth_gatemate.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc
mkdir -p techlibs/gatemate/
gcc -o techlibs/gatemate/gatemate_foldinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc
mkdir -p techlibs/gowin/
gcc -o techlibs/gowin/synth_gowin.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc
mkdir -p techlibs/greenpak4/
gcc -o techlibs/greenpak4/synth_greenpak4.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc
mkdir -p techlibs/greenpak4/
gcc -o techlibs/greenpak4/greenpak4_dffinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc
mkdir -p techlibs/ice40/
gcc -o techlibs/ice40/synth_ice40.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc
mkdir -p techlibs/ice40/
gcc -o techlibs/ice40/ice40_braminit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc
mkdir -p techlibs/ice40/
gcc -o techlibs/ice40/ice40_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc
mkdir -p techlibs/intel/
gcc -o techlibs/intel/synth_intel.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc
mkdir -p techlibs/intel_alm/
gcc -o techlibs/intel_alm/synth_intel_alm.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc
mkdir -p techlibs/lattice/
gcc -o techlibs/lattice/synth_lattice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/synth_lattice.cc
mkdir -p techlibs/lattice/
gcc -o techlibs/lattice/lattice_gsr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/lattice_gsr.cc
mkdir -p techlibs/nexus/
gcc -o techlibs/nexus/synth_nexus.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc
mkdir -p techlibs/quicklogic/
gcc -o techlibs/quicklogic/synth_quicklogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc
mkdir -p techlibs/sf2/
gcc -o techlibs/sf2/synth_sf2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc
mkdir -p techlibs/xilinx/
gcc -o techlibs/xilinx/synth_xilinx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc
mkdir -p techlibs/xilinx/
gcc -o techlibs/xilinx/xilinx_dffopt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc
sed -e 's#@CXXFLAGS@#-g -O2 -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I/usr/share/yosys/include -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \
		-e 's#@CXX@#gcc#;' -e 's#@LDFLAGS@#-flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic#;' -e 's#@LDLIBS@#-lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6#;' \
		-e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config
chmod +x yosys-config
if test -d abc/.hg; then \
	echo 'REEBE: NOP qverpgbel vf n ut jbexvat pbcl! Erzbir nop/ naq er-eha "znxr".' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
fi
if test -d abc && test -d abc/.git && ! git -C abc diff-index --quiet HEAD; then \
	echo 'REEBE: NOP pbagnvaf ybpny zbqvsvpngvbaf! Frg NOPERI=qrsnhyg va Lbflf Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
fi
if test -d abc && ! test -d abc/.git && ! test "`cat abc/.gitcommit | cut -c1-7`" = "bb64142"; then \
	echo 'REEBE: Qbjaybnqrq NOP irefvbaf qbrf abg zngpu! Qbjaybnq sebz:' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; echo https://github.com/YosysHQ/abc/archive/bb64142.tar.gz; false; \
fi
if test -d abc && ! test -d abc/.git && test "`cat abc/.gitcommit | cut -c1-7`" = "bb64142"; then \
	echo "Compiling local copy of ABC"; \
elif ! (cd abc 2> /dev/null && rev="`git rev-parse bb64142`" && test "`git rev-parse HEAD`" = "$rev"); then \
	test 0 -ne 0 || { echo 'REEBE: NOP abg hc gb qngr naq NOPCHYY frg gb 0 va Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; exit 1; }; \
	echo "Pulling ABC from https://github.com/YosysHQ/abc:"; set -x; \
	test -d abc || git clone https://github.com/YosysHQ/abc abc; \
	cd abc && make DEP= clean && git fetch https://github.com/YosysHQ/abc && git checkout bb64142; \
fi
Compiling local copy of ABC
rm -f abc/abc-[0-9a-f]*
make -C abc  CC="gcc" CXX="gcc" ABC_USE_LIBSTDCXX=1 ABC_USE_NAMESPACE=abc VERBOSE= ARCHFLAGS="-DABC_USE_STDINT_H """ PROG="abc-bb64142" MSG_PREFIX="-> ABC: " 
make[3]: Entering directory '/<<PKGBUILDDIR>>/abc'
-> ABC: Using CC=gcc
-> ABC: Using CXX=gcc
-> ABC: Using AR=ar
-> ABC: Using LD=gcc
mkdir -p passes/techmap/
gcc -o passes/techmap/filterlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc
-> ABC: Compiling in namespace 
-> ABC: Compiling with CUDD
-> ABC: Using libreadline
-> ABC: Using pthreads
-> ABC: Found GCC_VERSION 13
-> ABC: Found GCC_MAJOR>=5
-> ABC: Using explicit -lstdc++
-> ABC: Using CFLAGS=-g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable
-> ABC: `` Generating dependency: /src/bdd/llb/llb4Sweep.c
./depends.sh "gcc" `dirname src/bdd/llb/llb4Sweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c > src/bdd/llb/llb4Sweep.d
-> ABC: `` Generating dependency: /src/bdd/llb/llb4Nonlin.c
./depends.sh "gcc" `dirname src/bdd/llb/llb4Nonlin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c > src/bdd/llb/llb4Nonlin.d
sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new
chmod +x yosys-smtbmc.new
mv yosys-smtbmc.new yosys-smtbmc
sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/witness.py > yosys-witness.new
-> ABC: `` Generating dependency: /src/bdd/llb/llb4Image.c
./depends.sh "gcc" `dirname src/bdd/llb/llb4Image.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c > src/bdd/llb/llb4Image.d
chmod +x yosys-witness.new
mv yosys-witness.new yosys-witness
mkdir -p share/include/kernel/
cp "./"/kernel/yosys.h share/include/kernel/yosys.h
mkdir -p share/include/kernel/
cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h
mkdir -p share/include/kernel/
cp "./"/kernel/log.h share/include/kernel/log.h
mkdir -p share/include/kernel/
cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h
mkdir -p share/include/kernel/
-> ABC: `` Generating dependency: /src/bdd/llb/llb4Cex.c
./depends.sh "gcc" `dirname src/bdd/llb/llb4Cex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c > src/bdd/llb/llb4Cex.d
cp "./"/kernel/binding.h share/include/kernel/binding.h
mkdir -p share/include/kernel/
cp "./"/kernel/register.h share/include/kernel/register.h
mkdir -p share/include/kernel/
cp "./"/kernel/cellaigs.h share/include/kernel/cellaigs.h
mkdir -p share/include/kernel/
cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h
mkdir -p share/include/kernel/
cp "./"/kernel/celledges.h share/include/kernel/celledges.h
mkdir -p share/include/kernel/
cp "./"/kernel/consteval.h share/include/kernel/consteval.h
mkdir -p share/include/kernel/
cp "./"/kernel/constids.inc share/include/kernel/constids.inc
mkdir -p share/include/kernel/
cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h
-> ABC: `` Generating dependency: /src/bdd/llb/llb3Nonlin.c
./depends.sh "gcc" `dirname src/bdd/llb/llb3Nonlin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c > src/bdd/llb/llb3Nonlin.d
mkdir -p share/include/kernel/
cp "./"/kernel/modtools.h share/include/kernel/modtools.h
-> ABC: `` Generating dependency: /src/bdd/llb/llb3Image.c
./depends.sh "gcc" `dirname src/bdd/llb/llb3Image.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c > src/bdd/llb/llb3Image.d
mkdir -p share/include/kernel/
cp "./"/kernel/macc.h share/include/kernel/macc.h
mkdir -p share/include/kernel/
cp "./"/kernel/utils.h share/include/kernel/utils.h
mkdir -p share/include/kernel/
cp "./"/kernel/satgen.h share/include/kernel/satgen.h
mkdir -p share/include/kernel/
cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h
mkdir -p share/include/kernel/
cp "./"/kernel/ff.h share/include/kernel/ff.h
mkdir -p share/include/kernel/
-> ABC: `` Generating dependency: /src/bdd/llb/llb2Image.c
cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h
./depends.sh "gcc" `dirname src/bdd/llb/llb2Image.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c > src/bdd/llb/llb2Image.d
mkdir -p share/include/kernel/
cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h
-> ABC: `` Generating dependency: /src/bdd/llb/llb2Flow.c
./depends.sh "gcc" `dirname src/bdd/llb/llb2Flow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c > src/bdd/llb/llb2Flow.d
mkdir -p share/include/kernel/
cp "./"/kernel/mem.h share/include/kernel/mem.h
mkdir -p share/include/kernel/
cp "./"/kernel/yw.h share/include/kernel/yw.h
mkdir -p share/include/kernel/
cp "./"/kernel/json.h share/include/kernel/json.h
-> ABC: `` Generating dependency: /src/bdd/llb/llb2Dump.c
./depends.sh "gcc" `dirname src/bdd/llb/llb2Dump.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c > src/bdd/llb/llb2Dump.d
mkdir -p share/include/libs/ezsat/
cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h
-> ABC: `` Generating dependency: /src/bdd/llb/llb2Driver.c
./depends.sh "gcc" `dirname src/bdd/llb/llb2Driver.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c > src/bdd/llb/llb2Driver.d
mkdir -p share/include/libs/ezsat/
-> ABC: `` Generating dependency: /src/bdd/llb/llb2Core.c
./depends.sh "gcc" `dirname src/bdd/llb/llb2Core.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c > src/bdd/llb/llb2Core.d
cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h
mkdir -p share/include/libs/fst/
cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h
mkdir -p share/include/libs/sha1/
cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h
mkdir -p share/include/libs/json11/
cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp
-> ABC: `` Generating dependency: /src/bdd/llb/llb2Bad.c
./depends.sh "gcc" `dirname src/bdd/llb/llb2Bad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c > src/bdd/llb/llb2Bad.d
mkdir -p share/include/passes/fsm/
cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h
mkdir -p share/include/frontends/ast/
cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h
mkdir -p share/include/frontends/ast/
cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h
mkdir -p share/include/frontends/blif/
cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h
-> ABC: `` Generating dependency: /src/bdd/llb/llb1Sched.c
./depends.sh "gcc" `dirname src/bdd/llb/llb1Sched.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c > src/bdd/llb/llb1Sched.d
mkdir -p share/include/backends/rtlil/
cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h
-> ABC: `` Generating dependency: /src/bdd/llb/llb1Reach.c
./depends.sh "gcc" `dirname src/bdd/llb/llb1Reach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c > src/bdd/llb/llb1Reach.d
-> ABC: `` Generating dependency: /src/bdd/llb/llb1Pivot.c
./depends.sh "gcc" `dirname src/bdd/llb/llb1Pivot.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c > src/bdd/llb/llb1Pivot.d
mkdir -p share/include/backends/cxxrtl/
cp "./"/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl.h
mkdir -p share/include/backends/cxxrtl/
cp "./"/backends/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/cxxrtl_vcd.h
mkdir -p share/include/backends/cxxrtl/
cp "./"/backends/cxxrtl/cxxrtl_capi.cc share/include/backends/cxxrtl/cxxrtl_capi.cc
-> ABC: `` Generating dependency: /src/bdd/llb/llb1Matrix.c
./depends.sh "gcc" `dirname src/bdd/llb/llb1Matrix.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c > src/bdd/llb/llb1Matrix.d
mkdir -p share/include/backends/cxxrtl/
cp "./"/backends/cxxrtl/cxxrtl_capi.h share/include/backends/cxxrtl/cxxrtl_capi.h
mkdir -p share/include/backends/cxxrtl/
-> ABC: `` Generating dependency: /src/bdd/llb/llb1Man.c
./depends.sh "gcc" `dirname src/bdd/llb/llb1Man.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c > src/bdd/llb/llb1Man.d
cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc
mkdir -p share/include/backends/cxxrtl/
cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.h
mkdir -p share/python3
cp "./"/backends/smt2/smtio.py share/python3/smtio.py
-> ABC: `` Generating dependency: /src/bdd/llb/llb1Hint.c
./depends.sh "gcc" `dirname src/bdd/llb/llb1Hint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c > src/bdd/llb/llb1Hint.d
mkdir -p share/python3
-> ABC: `` Generating dependency: /src/bdd/llb/llb1Group.c
cp "./"/backends/smt2/ywio.py share/python3/ywio.py
./depends.sh "gcc" `dirname src/bdd/llb/llb1Group.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c > src/bdd/llb/llb1Group.d
mkdir -p share/achronix/speedster22i/
-> ABC: `` Generating dependency: /src/bdd/llb/llb1Core.c
./depends.sh "gcc" `dirname src/bdd/llb/llb1Core.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c > src/bdd/llb/llb1Core.d
cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v
mkdir -p share/achronix/speedster22i/
cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v
mkdir -p share/anlogic
-> ABC: `` Generating dependency: /src/bdd/llb/llb1Constr.c
./depends.sh "gcc" `dirname src/bdd/llb/llb1Constr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c > src/bdd/llb/llb1Constr.d
cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v
-> ABC: `` Generating dependency: /src/bdd/llb/llb1Cluster.c
mkdir -p share/anlogic
./depends.sh "gcc" `dirname src/bdd/llb/llb1Cluster.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c > src/bdd/llb/llb1Cluster.d
cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v
-> ABC: `` Generating dependency: /src/bdd/bbr/bbrReach.c
./depends.sh "gcc" `dirname src/bdd/bbr/bbrReach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c > src/bdd/bbr/bbrReach.d
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v
-> ABC: `` Generating dependency: /src/bdd/bbr/bbrNtbdd.c
./depends.sh "gcc" `dirname src/bdd/bbr/bbrNtbdd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c > src/bdd/bbr/bbrNtbdd.d
mkdir -p share/anlogic
-> ABC: `` Generating dependency: /src/bdd/bbr/bbrImage.c
./depends.sh "gcc" `dirname src/bdd/bbr/bbrImage.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c > src/bdd/bbr/bbrImage.d
cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt
mkdir -p share/anlogic
-> ABC: `` Generating dependency: /src/bdd/bbr/bbrCex.c
cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v
./depends.sh "gcc" `dirname src/bdd/bbr/bbrCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c > src/bdd/bbr/bbrCex.d
mkdir -p share
cp "./"/techlibs/common/simlib.v share/simlib.v
mkdir -p share
cp "./"/techlibs/common/simcells.v share/simcells.v
mkdir -p share
cp "./"/techlibs/common/techmap.v share/techmap.v
-> ABC: `` Generating dependency: /src/bdd/cas/casDec.c
mkdir -p share
./depends.sh "gcc" `dirname src/bdd/cas/casDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c > src/bdd/cas/casDec.d
cp "./"/techlibs/common/smtmap.v share/smtmap.v
-> ABC: `` Generating dependency: /src/bdd/cas/casCore.c
./depends.sh "gcc" `dirname src/bdd/cas/casCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c > src/bdd/cas/casCore.d
mkdir -p share
cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v
mkdir -p share
cp "./"/techlibs/common/adff2dff.v share/adff2dff.v
mkdir -p share
-> ABC: `` Generating dependency: /src/bdd/reo/reoUnits.c
./depends.sh "gcc" `dirname src/bdd/reo/reoUnits.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c > src/bdd/reo/reoUnits.d
cp "./"/techlibs/common/dff2ff.v share/dff2ff.v
mkdir -p share
cp "./"/techlibs/common/gate2lut.v share/gate2lut.v
mkdir -p share
cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v
mkdir -p share
-> ABC: `` Generating dependency: /src/bdd/reo/reoTransfer.c
./depends.sh "gcc" `dirname src/bdd/reo/reoTransfer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c > src/bdd/reo/reoTransfer.d
cp "./"/techlibs/common/cells.lib share/cells.lib
mkdir -p share
cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v
mkdir -p share
-> ABC: `` Generating dependency: /src/bdd/reo/reoSwap.c
./depends.sh "gcc" `dirname src/bdd/reo/reoSwap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c > src/bdd/reo/reoSwap.d
cp "./"/techlibs/common/abc9_model.v share/abc9_model.v
mkdir -p share
-> ABC: `` Generating dependency: /src/bdd/reo/reoSift.c
./depends.sh "gcc" `dirname src/bdd/reo/reoSift.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c > src/bdd/reo/reoSift.d
-> ABC: `` Generating dependency: /src/bdd/reo/reoShuffle.c
./depends.sh "gcc" `dirname src/bdd/reo/reoShuffle.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c > src/bdd/reo/reoShuffle.d
cp "./"/techlibs/common/abc9_map.v share/abc9_map.v
mkdir -p share
cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v
-> ABC: `` Generating dependency: /src/bdd/reo/reoProfile.c
mkdir -p share
./depends.sh "gcc" `dirname src/bdd/reo/reoProfile.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c > src/bdd/reo/reoProfile.d
cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v
mkdir -p share/coolrunner2
-> ABC: `` Generating dependency: /src/bdd/reo/reoCore.c
./depends.sh "gcc" `dirname src/bdd/reo/reoCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c > src/bdd/reo/reoCore.d
cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib
-> ABC: `` Generating dependency: /src/bdd/reo/reoApi.c
./depends.sh "gcc" `dirname src/bdd/reo/reoApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c > src/bdd/reo/reoApi.d
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh
-> ABC: `` Generating dependency: /src/bdd/mtr/mtrGroup.c
./depends.sh "gcc" `dirname src/bdd/mtr/mtrGroup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c > src/bdd/mtr/mtrGroup.d
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh
-> ABC: `` Generating dependency: /src/bdd/mtr/mtrBasic.c
./depends.sh "gcc" `dirname src/bdd/mtr/mtrBasic.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c > src/bdd/mtr/mtrBasic.d
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v
-> ABC: `` Generating dependency: /src/bdd/epd/epd.c
./depends.sh "gcc" `dirname src/bdd/epd/epd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c > src/bdd/epd/epd.d
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v
-> ABC: `` Generating dependency: /src/bdd/dsd/dsdTree.c
./depends.sh "gcc" `dirname src/bdd/dsd/dsdTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c > src/bdd/dsd/dsdTree.d
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v
mkdir -p share/ecp5
-> ABC: `` Generating dependency: /src/bdd/dsd/dsdProc.c
cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt
./depends.sh "gcc" `dirname src/bdd/dsd/dsdProc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c > src/bdd/dsd/dsdProc.d
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v
-> ABC: `` Generating dependency: /src/bdd/dsd/dsdMan.c
./depends.sh "gcc" `dirname src/bdd/dsd/dsdMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c > src/bdd/dsd/dsdMan.d
-> ABC: `` Generating dependency: /src/bdd/dsd/dsdLocal.c
mkdir -p share/ecp5
./depends.sh "gcc" `dirname src/bdd/dsd/dsdLocal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c > src/bdd/dsd/dsdLocal.d
cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v
-> ABC: `` Generating dependency: /src/bdd/dsd/dsdCheck.c
./depends.sh "gcc" `dirname src/bdd/dsd/dsdCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c > src/bdd/dsd/dsdCheck.d
-> ABC: `` Generating dependency: /src/bdd/dsd/dsdApi.c
./depends.sh "gcc" `dirname src/bdd/dsd/dsdApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c > src/bdd/dsd/dsdApi.d
-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddUnate.c
./depends.sh "gcc" `dirname src/bdd/extrab/extraBddUnate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c > src/bdd/extrab/extraBddUnate.d
-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddTime.c
./depends.sh "gcc" `dirname src/bdd/extrab/extraBddTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c > src/bdd/extrab/extraBddTime.d
-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddThresh.c
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v
-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSymm.c
./depends.sh "gcc" `dirname src/bdd/extrab/extraBddThresh.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c > src/bdd/extrab/extraBddThresh.d
./depends.sh "gcc" `dirname src/bdd/extrab/extraBddSymm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c > src/bdd/extrab/extraBddSymm.d
mkdir -p share/efinix
cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v
-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSet.c
./depends.sh "gcc" `dirname src/bdd/extrab/extraBddSet.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c > src/bdd/extrab/extraBddSet.d
mkdir -p share/efinix
cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v
-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMisc.c
./depends.sh "gcc" `dirname src/bdd/extrab/extraBddMisc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c > src/bdd/extrab/extraBddMisc.d
mkdir -p share/efinix
cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt
mkdir -p share/fabulous
-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMaxMin.c
./depends.sh "gcc" `dirname src/bdd/extrab/extraBddMaxMin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c > src/bdd/extrab/extraBddMaxMin.d
cp "./"/techlibs/fabulous/cells_map.v share/fabulous/cells_map.v
mkdir -p share/fabulous
cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v
mkdir -p share/fabulous
cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v
mkdir -p share/fabulous
cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v
mkdir -p share/fabulous
cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt
mkdir -p share/fabulous
-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddKmap.c
./depends.sh "gcc" `dirname src/bdd/extrab/extraBddKmap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c > src/bdd/extrab/extraBddKmap.d
cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v
-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddImage.c
./depends.sh "gcc" `dirname src/bdd/extrab/extraBddImage.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c > src/bdd/extrab/extraBddImage.d
mkdir -p share/fabulous
-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddCas.c
./depends.sh "gcc" `dirname src/bdd/extrab/extraBddCas.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c > src/bdd/extrab/extraBddCas.d
mkdir -p share/fabulous
cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v
cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v
-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddAuto.c
mkdir -p share/gatemate
./depends.sh "gcc" `dirname src/bdd/extrab/extraBddAuto.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c > src/bdd/extrab/extraBddAuto.d
cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddUtil.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c > src/bdd/cudd/cuddZddUtil.d
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSymm.c
mkdir -p share/gatemate
./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddSymm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c > src/bdd/cudd/cuddZddSymm.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSetop.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddSetop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c > src/bdd/cudd/cuddZddSetop.d
cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddReord.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddReord.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c > src/bdd/cudd/cuddZddReord.d
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddPort.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddPort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c > src/bdd/cudd/cuddZddPort.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddMisc.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddMisc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c > src/bdd/cudd/cuddZddMisc.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddLin.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddLin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c > src/bdd/cudd/cuddZddLin.d
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddIsop.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddIsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c > src/bdd/cudd/cuddZddIsop.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddGroup.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddGroup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c > src/bdd/cudd/cuddZddGroup.d
mkdir -p techlibs/gatemate
python3 techlibs/gatemate/make_lut_tree_lib.py
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddFuncs.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddFuncs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c > src/bdd/cudd/cuddZddFuncs.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddCount.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddCount.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c > src/bdd/cudd/cuddZddCount.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddWindow.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddWindow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c > src/bdd/cudd/cuddWindow.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddUtil.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c > src/bdd/cudd/cuddUtil.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddTable.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c > src/bdd/cudd/cuddTable.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSymmetry.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddSymmetry.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c > src/bdd/cudd/cuddSymmetry.d
touch techlibs/gatemate/lut_tree_lib.mk
mkdir -p share/gowin
cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetSP.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddSubsetSP.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c > src/bdd/cudd/cuddSubsetSP.d
mkdir -p share/gowin
cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v
mkdir -p share/gowin
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetHB.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddSubsetHB.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c > src/bdd/cudd/cuddSubsetHB.d
cp "./"/techlibs/gowin/cells_xtra.v share/gowin/cells_xtra.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSplit.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c > src/bdd/cudd/cuddSplit.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSolve.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddSolve.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c > src/bdd/cudd/cuddSolve.d
mkdir -p share/gowin
cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSign.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddSign.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c > src/bdd/cudd/cuddSign.d
mkdir -p share/gowin
cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSat.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c > src/bdd/cudd/cuddSat.d
mkdir -p share/gowin
cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddReorder.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddReorder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c > src/bdd/cudd/cuddReorder.d
mkdir -p share/gowin
cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddRef.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddRef.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c > src/bdd/cudd/cuddRef.d
mkdir -p share/greenpak4
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v
cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddRead.c
mkdir -p share/greenpak4
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddPriority.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c > src/bdd/cudd/cuddRead.d
cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v
mkdir -p share/greenpak4
./depends.sh "gcc" `dirname src/bdd/cudd/cuddPriority.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c > src/bdd/cudd/cuddPriority.d
cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddMatMult.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddMatMult.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c > src/bdd/cudd/cuddMatMult.d
mkdir -p share/greenpak4
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddLiteral.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddLiteral.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c > src/bdd/cudd/cuddLiteral.d
cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddLinear.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddLinear.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c > src/bdd/cudd/cuddLinear.d
mkdir -p share/ice40
cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddLevelQ.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddLevelQ.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c > src/bdd/cudd/cuddLevelQ.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddLCache.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddLCache.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c > src/bdd/cudd/cuddLCache.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddInteract.c
mkdir -p share/ice40
./depends.sh "gcc" `dirname src/bdd/cudd/cuddInteract.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c > src/bdd/cudd/cuddInteract.d
cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddInit.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddInit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c > src/bdd/cudd/cuddInit.d
mkdir -p share/ice40
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddHarwell.c
mkdir -p share/ice40
./depends.sh "gcc" `dirname src/bdd/cudd/cuddHarwell.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c > src/bdd/cudd/cuddHarwell.d
cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v
cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v
mkdir -p share/ice40
mkdir -p share/ice40
cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt
cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddGroup.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddGroup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c > src/bdd/cudd/cuddGroup.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenetic.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddGenetic.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c > src/bdd/cudd/cuddGenetic.d
mkdir -p share/ice40
cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v
mkdir -p share/ice40
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenCof.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddGenCof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c > src/bdd/cudd/cuddGenCof.d
cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v
mkdir -p share/intel/common
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddExport.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddExport.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c > src/bdd/cudd/cuddExport.d
cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddExact.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddExact.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c > src/bdd/cudd/cuddExact.d
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddEssent.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddEssent.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c > src/bdd/cudd/cuddEssent.d
mkdir -p share/intel/max10
cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddDecomp.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddDecomp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c > src/bdd/cudd/cuddDecomp.d
mkdir -p share/intel/cyclone10lp
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddCompose.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddCompose.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c > src/bdd/cudd/cuddCompose.d
cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v
mkdir -p share/intel/cycloneiv
cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddCof.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddCof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c > src/bdd/cudd/cuddCof.d
mkdir -p share/intel/cycloneive
cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v
mkdir -p share/intel/max10
cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v
mkdir -p share/intel/cyclone10lp
cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddClip.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddClip.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c > src/bdd/cudd/cuddClip.d
mkdir -p share/intel/cycloneiv
cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddCheck.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c > src/bdd/cudd/cuddCheck.d
mkdir -p share/intel/cycloneive
cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddCache.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddCache.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c > src/bdd/cudd/cuddCache.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddBridge.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddBridge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c > src/bdd/cudd/cuddBridge.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddIte.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddBddIte.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c > src/bdd/cudd/cuddBddIte.d
mkdir -p share/intel_alm/common
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddCorr.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddBddCorr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c > src/bdd/cudd/cuddBddCorr.d
cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v
mkdir -p share/intel_alm/common
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddAbs.c
cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v
./depends.sh "gcc" `dirname src/bdd/cudd/cuddBddAbs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c > src/bdd/cudd/cuddBddAbs.d
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddApprox.c
mkdir -p share/intel_alm/common
./depends.sh "gcc" `dirname src/bdd/cudd/cuddApprox.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c > src/bdd/cudd/cuddApprox.d
cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddApa.c
mkdir -p share/intel_alm/common
./depends.sh "gcc" `dirname src/bdd/cudd/cuddApa.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c > src/bdd/cudd/cuddApa.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAnneal.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddAnneal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c > src/bdd/cudd/cuddAnneal.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAndAbs.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddAndAbs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c > src/bdd/cudd/cuddAndAbs.d
cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddWalsh.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddWalsh.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c > src/bdd/cudd/cuddAddWalsh.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddNeg.c
mkdir -p share/intel_alm/common
./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddNeg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c > src/bdd/cudd/cuddAddNeg.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddIte.c
cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v
./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddIte.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c > src/bdd/cudd/cuddAddIte.d
mkdir -p share/intel_alm/common
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddInv.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddInv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c > src/bdd/cudd/cuddAddInv.d
cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddFind.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddFind.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c > src/bdd/cudd/cuddAddFind.d
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddApply.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddApply.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c > src/bdd/cudd/cuddAddApply.d
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddAbs.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddAbs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c > src/bdd/cudd/cuddAddAbs.d
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v
mkdir -p share/intel_alm/common
-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAPI.c
./depends.sh "gcc" `dirname src/bdd/cudd/cuddAPI.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c > src/bdd/cudd/cuddAPI.d
cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v
-> ABC: `` Generating dependency: /src/aig/hop/hopUtil.c
./depends.sh "gcc" `dirname src/aig/hop/hopUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c > src/aig/hop/hopUtil.d
mkdir -p share/intel_alm/cyclonev
-> ABC: `` Generating dependency: /src/aig/hop/hopTruth.c
./depends.sh "gcc" `dirname src/aig/hop/hopTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c > src/aig/hop/hopTruth.d
cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v
mkdir -p share/intel_alm/common
-> ABC: `` Generating dependency: /src/aig/hop/hopTable.c
-> ABC: `` Generating dependency: /src/aig/hop/hopOper.c
./depends.sh "gcc" `dirname src/aig/hop/hopTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c > src/aig/hop/hopTable.d
./depends.sh "gcc" `dirname src/aig/hop/hopOper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c > src/aig/hop/hopOper.d
cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt
mkdir -p share/intel_alm/common
-> ABC: `` Generating dependency: /src/aig/hop/hopObj.c
./depends.sh "gcc" `dirname src/aig/hop/hopObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c > src/aig/hop/hopObj.d
-> ABC: `` Generating dependency: /src/aig/hop/hopMem.c
./depends.sh "gcc" `dirname src/aig/hop/hopMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c > src/aig/hop/hopMem.d
cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v
-> ABC: `` Generating dependency: /src/aig/hop/hopMan.c
./depends.sh "gcc" `dirname src/aig/hop/hopMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c > src/aig/hop/hopMan.d
-> ABC: `` Generating dependency: /src/aig/hop/hopDfs.c
./depends.sh "gcc" `dirname src/aig/hop/hopDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c > src/aig/hop/hopDfs.d
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k.txt
-> ABC: `` Generating dependency: /src/aig/hop/hopCheck.c
./depends.sh "gcc" `dirname src/aig/hop/hopCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c > src/aig/hop/hopCheck.d
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/bram_m20k_map.v share/intel_alm/common/bram_m20k_map.v
mkdir -p share/intel_alm/common
-> ABC: `` Generating dependency: /src/aig/hop/hopBalance.c
./depends.sh "gcc" `dirname src/aig/hop/hopBalance.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c > src/aig/hop/hopBalance.d
cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt
mkdir -p share/intel_alm/common
-> ABC: `` Generating dependency: /src/aig/ivy/ivyUtil.c
./depends.sh "gcc" `dirname src/aig/ivy/ivyUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c > src/aig/ivy/ivyUtil.d
cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v
-> ABC: `` Generating dependency: /src/aig/ivy/ivyTable.c
./depends.sh "gcc" `dirname src/aig/ivy/ivyTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c > src/aig/ivy/ivyTable.d
mkdir -p share/intel_alm/common
mkdir -p share/lattice
cp "./"/techlibs/intel_alm/common/quartus_rename.v share/intel_alm/common/quartus_rename.v
cp "./"/techlibs/lattice/cells_ff.vh share/lattice/cells_ff.vh
-> ABC: `` Generating dependency: /src/aig/ivy/ivyShow.c
./depends.sh "gcc" `dirname src/aig/ivy/ivyShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c > src/aig/ivy/ivyShow.d
mkdir -p share/lattice
cp "./"/techlibs/lattice/cells_io.vh share/lattice/cells_io.vh
-> ABC: `` Generating dependency: /src/aig/ivy/ivySeq.c
./depends.sh "gcc" `dirname src/aig/ivy/ivySeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c > src/aig/ivy/ivySeq.d
mkdir -p share/lattice
-> ABC: `` Generating dependency: /src/aig/ivy/ivyRwr.c
./depends.sh "gcc" `dirname src/aig/ivy/ivyRwr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c > src/aig/ivy/ivyRwr.d
mkdir -p share/lattice
cp "./"/techlibs/lattice/cells_map.v share/lattice/cells_map.v
cp "./"/techlibs/lattice/common_sim.vh share/lattice/common_sim.vh
mkdir -p share/lattice
-> ABC: `` Generating dependency: /src/aig/ivy/ivyResyn.c
cp "./"/techlibs/lattice/ccu2d_sim.vh share/lattice/ccu2d_sim.vh
./depends.sh "gcc" `dirname src/aig/ivy/ivyResyn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c > src/aig/ivy/ivyResyn.d
mkdir -p share/lattice
mkdir -p share/lattice
cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh
cp "./"/techlibs/lattice/cells_sim_ecp5.v share/lattice/cells_sim_ecp5.v
-> ABC: `` Generating dependency: /src/aig/ivy/ivyOper.c
mkdir -p share/lattice
./depends.sh "gcc" `dirname src/aig/ivy/ivyOper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c > src/aig/ivy/ivyOper.d
cp "./"/techlibs/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo2.v
mkdir -p share/lattice
-> ABC: `` Generating dependency: /src/aig/ivy/ivyObj.c
./depends.sh "gcc" `dirname src/aig/ivy/ivyObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c > src/aig/ivy/ivyObj.d
mkdir -p share/lattice
cp "./"/techlibs/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3.v
cp "./"/techlibs/lattice/cells_sim_xo3d.v share/lattice/cells_sim_xo3d.v
-> ABC: `` Generating dependency: /src/aig/ivy/ivyMulti.c
-> ABC: `` Generating dependency: /src/aig/ivy/ivyMem.c
./depends.sh "gcc" `dirname src/aig/ivy/ivyMulti.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c > src/aig/ivy/ivyMulti.d
mkdir -p share/lattice
./depends.sh "gcc" `dirname src/aig/ivy/ivyMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c > src/aig/ivy/ivyMem.d
cp "./"/techlibs/lattice/cells_bb_ecp5.v share/lattice/cells_bb_ecp5.v
-> ABC: `` Generating dependency: /src/aig/ivy/ivyMan.c
./depends.sh "gcc" `dirname src/aig/ivy/ivyMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c > src/aig/ivy/ivyMan.d
mkdir -p share/lattice
-> ABC: `` Generating dependency: /src/aig/ivy/ivyHaig.c
cp "./"/techlibs/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo2.v
./depends.sh "gcc" `dirname src/aig/ivy/ivyHaig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c > src/aig/ivy/ivyHaig.d
-> ABC: `` Generating dependency: /src/aig/ivy/ivyFraig.c
-> ABC: `` Generating dependency: /src/aig/ivy/ivyFastMap.c
./depends.sh "gcc" `dirname src/aig/ivy/ivyFraig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c > src/aig/ivy/ivyFraig.d
./depends.sh "gcc" `dirname src/aig/ivy/ivyFastMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c > src/aig/ivy/ivyFastMap.d
mkdir -p share/lattice
cp "./"/techlibs/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3.v
mkdir -p share/lattice
cp "./"/techlibs/lattice/cells_bb_xo3d.v share/lattice/cells_bb_xo3d.v
-> ABC: `` Generating dependency: /src/aig/ivy/ivyFanout.c
./depends.sh "gcc" `dirname src/aig/ivy/ivyFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c > src/aig/ivy/ivyFanout.d
-> ABC: `` Generating dependency: /src/aig/ivy/ivyDsd.c
./depends.sh "gcc" `dirname src/aig/ivy/ivyDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c > src/aig/ivy/ivyDsd.d
mkdir -p share/lattice
-> ABC: `` Generating dependency: /src/aig/ivy/ivyDfs.c
./depends.sh "gcc" `dirname src/aig/ivy/ivyDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c > src/aig/ivy/ivyDfs.d
-> ABC: `` Generating dependency: /src/aig/ivy/ivyCutTrav.c
./depends.sh "gcc" `dirname src/aig/ivy/ivyCutTrav.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c > src/aig/ivy/ivyCutTrav.d
-> ABC: `` Generating dependency: /src/aig/ivy/ivyCut.c
./depends.sh "gcc" `dirname src/aig/ivy/ivyCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c > src/aig/ivy/ivyCut.d
-> ABC: `` Generating dependency: /src/aig/ivy/ivyCheck.c
cp "./"/techlibs/lattice/lutrams_map.v share/lattice/lutrams_map.v
./depends.sh "gcc" `dirname src/aig/ivy/ivyCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c > src/aig/ivy/ivyCheck.d
-> ABC: `` Generating dependency: /src/aig/ivy/ivyCanon.c
./depends.sh "gcc" `dirname src/aig/ivy/ivyCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c > src/aig/ivy/ivyCanon.d
-> ABC: `` Generating dependency: /src/aig/ivy/ivyBalance.c
./depends.sh "gcc" `dirname src/aig/ivy/ivyBalance.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c > src/aig/ivy/ivyBalance.d
mkdir -p share/lattice
cp "./"/techlibs/lattice/lutrams.txt share/lattice/lutrams.txt
mkdir -p share/lattice
cp "./"/techlibs/lattice/brams_map_16kd.v share/lattice/brams_map_16kd.v
mkdir -p share/lattice
-> ABC: `` Generating dependency: /src/aig/ioa/ioaUtil.c
./depends.sh "gcc" `dirname src/aig/ioa/ioaUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c > src/aig/ioa/ioaUtil.d
mkdir -p share/lattice
cp "./"/techlibs/lattice/brams_16kd.txt share/lattice/brams_16kd.txt
cp "./"/techlibs/lattice/brams_map_8kc.v share/lattice/brams_map_8kc.v
mkdir -p share/lattice
-> ABC: `` Generating dependency: /src/aig/ioa/ioaWriteAig.c
./depends.sh "gcc" `dirname src/aig/ioa/ioaWriteAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c > src/aig/ioa/ioaWriteAig.d
cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt
-> ABC: `` Generating dependency: /src/aig/ioa/ioaReadAig.c
./depends.sh "gcc" `dirname src/aig/ioa/ioaReadAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c > src/aig/ioa/ioaReadAig.d
mkdir -p share/lattice
mkdir -p share/lattice
-> ABC: `` Generating dependency: /src/aig/gia/giaUtil.c
-> ABC: `` Generating dependency: /src/aig/gia/giaUnate.c
cp "./"/techlibs/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2c.v
./depends.sh "gcc" `dirname src/aig/gia/giaUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c > src/aig/gia/giaUtil.d
./depends.sh "gcc" `dirname src/aig/gia/giaUnate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c > src/aig/gia/giaUnate.d
cp "./"/techlibs/lattice/arith_map_ccu2d.v share/lattice/arith_map_ccu2d.v
mkdir -p share/lattice
-> ABC: `` Generating dependency: /src/aig/gia/giaTsim.c
cp "./"/techlibs/lattice/latches_map.v share/lattice/latches_map.v
mkdir -p share/lattice
./depends.sh "gcc" `dirname src/aig/gia/giaTsim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c > src/aig/gia/giaTsim.d
cp "./"/techlibs/lattice/dsp_map_18x18.v share/lattice/dsp_map_18x18.v
mkdir -p share/nexus
mkdir -p share/nexus
cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v
cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v
-> ABC: `` Generating dependency: /src/aig/gia/giaTruth.c
./depends.sh "gcc" `dirname src/aig/gia/giaTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c > src/aig/gia/giaTruth.d
mkdir -p share/nexus
mkdir -p share/nexus
cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh
mkdir -p share/nexus
-> ABC: `` Generating dependency: /src/aig/gia/giaTranStoch.c
./depends.sh "gcc" `dirname src/aig/gia/giaTranStoch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c > src/aig/gia/giaTranStoch.d
cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v
cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v
mkdir -p share/nexus
-> ABC: `` Generating dependency: /src/aig/gia/giaTis.c
./depends.sh "gcc" `dirname src/aig/gia/giaTis.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c > src/aig/gia/giaTis.d
mkdir -p share/nexus
cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt
cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v
mkdir -p share/nexus
mkdir -p share/nexus
cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt
cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt
mkdir -p share/nexus
cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v
-> ABC: `` Generating dependency: /src/aig/gia/giaTim.c
mkdir -p share/nexus
mkdir -p share/nexus
cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v
mkdir -p share/quicklogic
./depends.sh "gcc" `dirname src/aig/gia/giaTim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c > src/aig/gia/giaTim.d
cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v
cp "./"/techlibs/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_ffs_map.v
-> ABC: `` Generating dependency: /src/aig/gia/giaSwitch.c
mkdir -p share/quicklogic
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/pp3_lut_map.v share/quicklogic/pp3_lut_map.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/pp3_latches_map.v share/quicklogic/pp3_latches_map.v
./depends.sh "gcc" `dirname src/aig/gia/giaSwitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c > src/aig/gia/giaSwitch.d
cp "./"/techlibs/quicklogic/pp3_cells_map.v share/quicklogic/pp3_cells_map.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/cells_sim.v share/quicklogic/cells_sim.v
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/lut_sim.v share/quicklogic/lut_sim.v
mkdir -p share/quicklogic
-> ABC: `` Generating dependency: /src/aig/gia/giaSweeper.c
cp "./"/techlibs/quicklogic/pp3_cells_sim.v share/quicklogic/pp3_cells_sim.v
./depends.sh "gcc" `dirname src/aig/gia/giaSweeper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c > src/aig/gia/giaSweeper.d
-> ABC: `` Generating dependency: /src/aig/gia/giaSweep.c
./depends.sh "gcc" `dirname src/aig/gia/giaSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c > src/aig/gia/giaSweep.d
mkdir -p share/quicklogic
-> ABC: `` Generating dependency: /src/aig/gia/giaSupps.c
./depends.sh "gcc" `dirname src/aig/gia/giaSupps.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c > src/aig/gia/giaSupps.d
-> ABC: `` Generating dependency: /src/aig/gia/giaSupp.c
./depends.sh "gcc" `dirname src/aig/gia/giaSupp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c > src/aig/gia/giaSupp.d
mkdir -p share/quicklogic
cp "./"/techlibs/quicklogic/abc9_model.v share/quicklogic/abc9_model.v
cp "./"/techlibs/quicklogic/abc9_map.v share/quicklogic/abc9_map.v
-> ABC: `` Generating dependency: /src/aig/gia/giaSupMin.c
./depends.sh "gcc" `dirname src/aig/gia/giaSupMin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c > src/aig/gia/giaSupMin.d
mkdir -p share/quicklogic
mkdir -p share/sf2
cp "./"/techlibs/quicklogic/abc9_unmap.v share/quicklogic/abc9_unmap.v
cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v
mkdir -p share/sf2
-> ABC: `` Generating dependency: /src/aig/gia/giaStr.c
cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v
./depends.sh "gcc" `dirname src/aig/gia/giaStr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c > src/aig/gia/giaStr.d
mkdir -p share/sf2
-> ABC: `` Generating dependency: /src/aig/gia/giaStoch.c
./depends.sh "gcc" `dirname src/aig/gia/giaStoch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c > src/aig/gia/giaStoch.d
cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v
mkdir -p share/xilinx
-> ABC: `` Generating dependency: /src/aig/gia/giaStg.c
cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v
./depends.sh "gcc" `dirname src/aig/gia/giaStg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c > src/aig/gia/giaStg.d
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v
-> ABC: `` Generating dependency: /src/aig/gia/giaSplit.c
-> ABC: `` Generating dependency: /src/aig/gia/giaSpeedup.c
./depends.sh "gcc" `dirname src/aig/gia/giaSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c > src/aig/gia/giaSplit.d
mkdir -p share/xilinx
./depends.sh "gcc" `dirname src/aig/gia/giaSpeedup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c > src/aig/gia/giaSpeedup.d
cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v
-> ABC: `` Generating dependency: /src/aig/gia/giaSort.c
./depends.sh "gcc" `dirname src/aig/gia/giaSort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c > src/aig/gia/giaSort.d
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt
-> ABC: `` Generating dependency: /src/aig/gia/giaSimBase.c
./depends.sh "gcc" `dirname src/aig/gia/giaSimBase.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSimBase.c > src/aig/gia/giaSimBase.d
mkdir -p share/xilinx
mkdir -p share/xilinx
-> ABC: `` Generating dependency: /src/aig/gia/giaSim2.c
cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v
cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt
./depends.sh "gcc" `dirname src/aig/gia/giaSim2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c > src/aig/gia/giaSim2.d
mkdir -p share/xilinx
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt
cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v
mkdir -p share/xilinx
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt
cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v
mkdir -p share/xilinx
-> ABC: `` Generating dependency: /src/aig/gia/giaSim.c
./depends.sh "gcc" `dirname src/aig/gia/giaSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c > src/aig/gia/giaSim.d
-> ABC: `` Generating dependency: /src/aig/gia/giaSif.c
./depends.sh "gcc" `dirname src/aig/gia/giaSif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c > src/aig/gia/giaSif.d
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh
-> ABC: `` Generating dependency: /src/aig/gia/giaShrink7.c
./depends.sh "gcc" `dirname src/aig/gia/giaShrink7.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c > src/aig/gia/giaShrink7.d
cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt
mkdir -p share/xilinx
-> ABC: `` Generating dependency: /src/aig/gia/giaShrink6.c
./depends.sh "gcc" `dirname src/aig/gia/giaShrink6.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c > src/aig/gia/giaShrink6.d
cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v
mkdir -p share/xilinx
mkdir -p share/xilinx
-> ABC: `` Generating dependency: /src/aig/gia/giaShrink.c
./depends.sh "gcc" `dirname src/aig/gia/giaShrink.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c > src/aig/gia/giaShrink.d
cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt
cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v
-> ABC: `` Generating dependency: /src/aig/gia/giaShow.c
./depends.sh "gcc" `dirname src/aig/gia/giaShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c > src/aig/gia/giaShow.d
mkdir -p share/xilinx
-> ABC: `` Generating dependency: /src/aig/gia/giaScript.c
./depends.sh "gcc" `dirname src/aig/gia/giaScript.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c > src/aig/gia/giaScript.d
cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v
-> ABC: `` Generating dependency: /src/aig/gia/giaScl.c
./depends.sh "gcc" `dirname src/aig/gia/giaScl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c > src/aig/gia/giaScl.d
mkdir -p share/xilinx
-> ABC: `` Generating dependency: /src/aig/gia/giaSat3.c
./depends.sh "gcc" `dirname src/aig/gia/giaSat3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c > src/aig/gia/giaSat3.d
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v
cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt
mkdir -p share/xilinx
-> ABC: `` Generating dependency: /src/aig/gia/giaSatSyn.c
-> ABC: `` Generating dependency: /src/aig/gia/giaSatoko.c
./depends.sh "gcc" `dirname src/aig/gia/giaSatSyn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c > src/aig/gia/giaSatSyn.d
mkdir -p share/xilinx
./depends.sh "gcc" `dirname src/aig/gia/giaSatoko.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c > src/aig/gia/giaSatoko.d
cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v
cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v
-> ABC: `` Generating dependency: /src/aig/gia/giaSatMap.c
./depends.sh "gcc" `dirname src/aig/gia/giaSatMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c > src/aig/gia/giaSatMap.d
-> ABC: `` Generating dependency: /src/aig/gia/giaSatLut.c
./depends.sh "gcc" `dirname src/aig/gia/giaSatLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c > src/aig/gia/giaSatLut.d
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v
mkdir -p share/xilinx
-> ABC: `` Generating dependency: /src/aig/gia/giaSatLE.c
./depends.sh "gcc" `dirname src/aig/gia/giaSatLE.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c > src/aig/gia/giaSatLE.d
cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v
-> ABC: `` Generating dependency: /src/aig/gia/giaSatEdge.c
./depends.sh "gcc" `dirname src/aig/gia/giaSatEdge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c > src/aig/gia/giaSatEdge.d
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v
mkdir -p share/xilinx
-> ABC: `` Generating dependency: /src/aig/gia/giaRex.c
./depends.sh "gcc" `dirname src/aig/gia/giaRex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c > src/aig/gia/giaRex.d
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v
-> ABC: `` Generating dependency: /src/aig/gia/giaRetime.c
./depends.sh "gcc" `dirname src/aig/gia/giaRetime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c > src/aig/gia/giaRetime.d
cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v
mkdir -p share/xilinx
-> ABC: `` Generating dependency: /src/aig/gia/giaResub6.c
-> ABC: `` Generating dependency: /src/aig/gia/giaResub3.c
./depends.sh "gcc" `dirname src/aig/gia/giaResub6.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c > src/aig/gia/giaResub6.d
cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v
./depends.sh "gcc" `dirname src/aig/gia/giaResub3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c > src/aig/gia/giaResub3.d
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v
mkdir -p share/xilinx
-> ABC: `` Generating dependency: /src/aig/gia/giaResub2.c
./depends.sh "gcc" `dirname src/aig/gia/giaResub2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c > src/aig/gia/giaResub2.d
cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v
-> ABC: `` Generating dependency: /src/aig/gia/giaResub.c
./depends.sh "gcc" `dirname src/aig/gia/giaResub.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c > src/aig/gia/giaResub.d
mkdir -p kernel/
gcc -o kernel/version_2584903a060.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_2584903a060.cc
mkdir -p kernel/
mkdir -p frontends/rtlil/
gcc -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc
gcc -o frontends/rtlil/rtlil_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc
-> ABC: `` Generating dependency: /src/aig/gia/giaReshape2.c
./depends.sh "gcc" `dirname src/aig/gia/giaReshape2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c > src/aig/gia/giaReshape2.d
mkdir -p frontends/rtlil/
gcc -o frontends/rtlil/rtlil_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc
-> ABC: `` Generating dependency: /src/aig/gia/giaReshape1.c
./depends.sh "gcc" `dirname src/aig/gia/giaReshape1.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c > src/aig/gia/giaReshape1.d
mkdir -p frontends/verilog/
gcc -o frontends/verilog/verilog_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc
-> ABC: `` Generating dependency: /src/aig/gia/giaQbf.c
./depends.sh "gcc" `dirname src/aig/gia/giaQbf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c > src/aig/gia/giaQbf.d
mkdir -p frontends/verilog/
-> ABC: `` Generating dependency: /src/aig/gia/giaPf.c
./depends.sh "gcc" `dirname src/aig/gia/giaPf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c > src/aig/gia/giaPf.d
flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l
-> ABC: `` Generating dependency: /src/aig/gia/giaPat2.c
./depends.sh "gcc" `dirname src/aig/gia/giaPat2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c > src/aig/gia/giaPat2.d
-> ABC: `` Generating dependency: /src/aig/gia/giaPat.c
./depends.sh "gcc" `dirname src/aig/gia/giaPat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c > src/aig/gia/giaPat.d
-> ABC: `` Generating dependency: /src/aig/gia/giaPack.c
-> ABC: `` Generating dependency: /src/aig/gia/giaOf.c
./depends.sh "gcc" `dirname src/aig/gia/giaPack.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c > src/aig/gia/giaPack.d
mkdir -p passes/pmgen/
./depends.sh "gcc" `dirname src/aig/gia/giaOf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c > src/aig/gia/giaOf.d
gcc -o passes/pmgen/test_pmgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc
-> ABC: `` Generating dependency: /src/aig/gia/giaNf.c
./depends.sh "gcc" `dirname src/aig/gia/giaNf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c > src/aig/gia/giaNf.d
mkdir -p passes/pmgen/
gcc -o passes/pmgen/ice40_wrapcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc
-> ABC: `` Generating dependency: /src/aig/gia/giaMuxes.c
./depends.sh "gcc" `dirname src/aig/gia/giaMuxes.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c > src/aig/gia/giaMuxes.d
mkdir -p passes/pmgen/
gcc -o passes/pmgen/xilinx_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc
-> ABC: `` Generating dependency: /src/aig/gia/giaMinLut2.c
./depends.sh "gcc" `dirname src/aig/gia/giaMinLut2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c > src/aig/gia/giaMinLut2.d
-> ABC: `` Generating dependency: /src/aig/gia/giaMinLut.c
-> ABC: `` Generating dependency: /src/aig/gia/giaMini.c
./depends.sh "gcc" `dirname src/aig/gia/giaMinLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c > src/aig/gia/giaMinLut.d
./depends.sh "gcc" `dirname src/aig/gia/giaMini.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c > src/aig/gia/giaMini.d
-> ABC: `` Generating dependency: /src/aig/gia/giaMfs.c
./depends.sh "gcc" `dirname src/aig/gia/giaMfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c > src/aig/gia/giaMfs.d
-> ABC: `` Generating dependency: /src/aig/gia/giaMem.c
./depends.sh "gcc" `dirname src/aig/gia/giaMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c > src/aig/gia/giaMem.d
-> ABC: `` Generating dependency: /src/aig/gia/giaMan.c
./depends.sh "gcc" `dirname src/aig/gia/giaMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c > src/aig/gia/giaMan.d
-> ABC: `` Generating dependency: /src/aig/gia/giaMf.c
./depends.sh "gcc" `dirname src/aig/gia/giaMf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c > src/aig/gia/giaMf.d
-> ABC: `` Generating dependency: /src/aig/gia/giaLf.c
./depends.sh "gcc" `dirname src/aig/gia/giaLf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c > src/aig/gia/giaLf.d
-> ABC: `` Generating dependency: /src/aig/gia/giaKf.c
./depends.sh "gcc" `dirname src/aig/gia/giaKf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c > src/aig/gia/giaKf.d
-> ABC: `` Generating dependency: /src/aig/gia/giaJf.c
./depends.sh "gcc" `dirname src/aig/gia/giaJf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c > src/aig/gia/giaJf.d
-> ABC: `` Generating dependency: /src/aig/gia/giaIso3.c
./depends.sh "gcc" `dirname src/aig/gia/giaIso3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso3.c > src/aig/gia/giaIso3.d
mkdir -p ./
gcc -o yosys-filterlib -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic passes/techmap/filterlib.o  -lstdc++ -lm -lrt -lreadline -lffi  -ldl -lz -ltcl8.6 -ltclstub8.6 
-> ABC: `` Generating dependency: /src/aig/gia/giaIso2.c
./depends.sh "gcc" `dirname src/aig/gia/giaIso2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c > src/aig/gia/giaIso2.d
-> ABC: `` Generating dependency: /src/aig/gia/giaIso.c
./depends.sh "gcc" `dirname src/aig/gia/giaIso.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c > src/aig/gia/giaIso.d
-> ABC: `` Generating dependency: /src/aig/gia/giaIiff.c
./depends.sh "gcc" `dirname src/aig/gia/giaIiff.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c > src/aig/gia/giaIiff.d
-> ABC: `` Generating dependency: /src/aig/gia/giaIff.c
./depends.sh "gcc" `dirname src/aig/gia/giaIff.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c > src/aig/gia/giaIff.d
-> ABC: `` Generating dependency: /src/aig/gia/giaIf.c
./depends.sh "gcc" `dirname src/aig/gia/giaIf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c > src/aig/gia/giaIf.d
-> ABC: `` Generating dependency: /src/aig/gia/giaHash.c
./depends.sh "gcc" `dirname src/aig/gia/giaHash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c > src/aig/gia/giaHash.d
-> ABC: `` Generating dependency: /src/aig/gia/giaGlitch.c
./depends.sh "gcc" `dirname src/aig/gia/giaGlitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c > src/aig/gia/giaGlitch.d
-> ABC: `` Generating dependency: /src/aig/gia/giaGig.c
./depends.sh "gcc" `dirname src/aig/gia/giaGig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c > src/aig/gia/giaGig.d
-> ABC: `` Generating dependency: /src/aig/gia/giaGen.c
./depends.sh "gcc" `dirname src/aig/gia/giaGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGen.c > src/aig/gia/giaGen.d
-> ABC: `` Generating dependency: /src/aig/gia/giaFx.c
./depends.sh "gcc" `dirname src/aig/gia/giaFx.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c > src/aig/gia/giaFx.d
-> ABC: `` Generating dependency: /src/aig/gia/giaFront.c
./depends.sh "gcc" `dirname src/aig/gia/giaFront.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c > src/aig/gia/giaFront.d
-> ABC: `` Generating dependency: /src/aig/gia/giaFrames.c
./depends.sh "gcc" `dirname src/aig/gia/giaFrames.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c > src/aig/gia/giaFrames.d
mkdir -p share/gatemate
cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib
-> ABC: `` Generating dependency: /src/aig/gia/giaForce.c
./depends.sh "gcc" `dirname src/aig/gia/giaForce.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c > src/aig/gia/giaForce.d
mkdir -p share/gatemate
cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v
-> ABC: `` Generating dependency: /src/aig/gia/giaFanout.c
./depends.sh "gcc" `dirname src/aig/gia/giaFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c > src/aig/gia/giaFanout.d
-> ABC: `` Generating dependency: /src/aig/gia/giaFalse.c
./depends.sh "gcc" `dirname src/aig/gia/giaFalse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c > src/aig/gia/giaFalse.d
-> ABC: `` Generating dependency: /src/aig/gia/giaExist.c
./depends.sh "gcc" `dirname src/aig/gia/giaExist.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c > src/aig/gia/giaExist.d
-> ABC: `` Generating dependency: /src/aig/gia/giaEsop.c
./depends.sh "gcc" `dirname src/aig/gia/giaEsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c > src/aig/gia/giaEsop.d
-> ABC: `` Generating dependency: /src/aig/gia/giaEra2.c
./depends.sh "gcc" `dirname src/aig/gia/giaEra2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c > src/aig/gia/giaEra2.d
-> ABC: `` Generating dependency: /src/aig/gia/giaEra.c
./depends.sh "gcc" `dirname src/aig/gia/giaEra.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c > src/aig/gia/giaEra.d
-> ABC: `` Generating dependency: /src/aig/gia/giaEquiv.c
./depends.sh "gcc" `dirname src/aig/gia/giaEquiv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c > src/aig/gia/giaEquiv.d
-> ABC: `` Generating dependency: /src/aig/gia/giaEnable.c
./depends.sh "gcc" `dirname src/aig/gia/giaEnable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c > src/aig/gia/giaEnable.d
-> ABC: `` Generating dependency: /src/aig/gia/giaEmbed.c
./depends.sh "gcc" `dirname src/aig/gia/giaEmbed.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c > src/aig/gia/giaEmbed.d
-> ABC: `` Generating dependency: /src/aig/gia/giaEdge.c
./depends.sh "gcc" `dirname src/aig/gia/giaEdge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c > src/aig/gia/giaEdge.d
-> ABC: `` Generating dependency: /src/aig/gia/giaDup.c
./depends.sh "gcc" `dirname src/aig/gia/giaDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c > src/aig/gia/giaDup.d
-> ABC: `` Generating dependency: /src/aig/gia/giaDfs.c
./depends.sh "gcc" `dirname src/aig/gia/giaDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c > src/aig/gia/giaDfs.d
-> ABC: `` Generating dependency: /src/aig/gia/giaDeep.c
./depends.sh "gcc" `dirname src/aig/gia/giaDeep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDeep.c > src/aig/gia/giaDeep.d
-> ABC: `` Generating dependency: /src/aig/gia/giaDecs.c
./depends.sh "gcc" `dirname src/aig/gia/giaDecs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDecs.c > src/aig/gia/giaDecs.d
-> ABC: `` Generating dependency: /src/aig/gia/giaCut.c
./depends.sh "gcc" `dirname src/aig/gia/giaCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c > src/aig/gia/giaCut.d
-> ABC: `` Generating dependency: /src/aig/gia/giaCTas.c
./depends.sh "gcc" `dirname src/aig/gia/giaCTas.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c > src/aig/gia/giaCTas.d
-> ABC: `` Generating dependency: /src/aig/gia/giaCSatP.c
./depends.sh "gcc" `dirname src/aig/gia/giaCSatP.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c > src/aig/gia/giaCSatP.d
-> ABC: `` Generating dependency: /src/aig/gia/giaCSat3.c
./depends.sh "gcc" `dirname src/aig/gia/giaCSat3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c > src/aig/gia/giaCSat3.d
-> ABC: `` Generating dependency: /src/aig/gia/giaCSat2.c
./depends.sh "gcc" `dirname src/aig/gia/giaCSat2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat2.c > src/aig/gia/giaCSat2.d
-> ABC: `` Generating dependency: /src/aig/gia/giaCSat.c
./depends.sh "gcc" `dirname src/aig/gia/giaCSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat.c > src/aig/gia/giaCSat.d
-> ABC: `` Generating dependency: /src/aig/gia/giaCSatOld.c
./depends.sh "gcc" `dirname src/aig/gia/giaCSatOld.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatOld.c > src/aig/gia/giaCSatOld.d
-> ABC: `` Generating dependency: /src/aig/gia/giaCone.c
./depends.sh "gcc" `dirname src/aig/gia/giaCone.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c > src/aig/gia/giaCone.d
-> ABC: `` Generating dependency: /src/aig/gia/giaCof.c
./depends.sh "gcc" `dirname src/aig/gia/giaCof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c > src/aig/gia/giaCof.d
mkdir -p frontends/verilog/
gcc -o frontends/verilog/verilog_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc
-> ABC: `` Generating dependency: /src/aig/gia/giaClp.c
./depends.sh "gcc" `dirname src/aig/gia/giaClp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c > src/aig/gia/giaClp.d
-> ABC: `` Generating dependency: /src/aig/gia/giaCex.c
./depends.sh "gcc" `dirname src/aig/gia/giaCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c > src/aig/gia/giaCex.d
-> ABC: `` Generating dependency: /src/aig/gia/giaCCof.c
./depends.sh "gcc" `dirname src/aig/gia/giaCCof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c > src/aig/gia/giaCCof.d
-> ABC: `` Generating dependency: /src/aig/gia/giaBidec.c
./depends.sh "gcc" `dirname src/aig/gia/giaBidec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c > src/aig/gia/giaBidec.d
-> ABC: `` Generating dependency: /src/aig/gia/giaBalMap.c
./depends.sh "gcc" `dirname src/aig/gia/giaBalMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c > src/aig/gia/giaBalMap.d
-> ABC: `` Generating dependency: /src/aig/gia/giaBalLut.c
./depends.sh "gcc" `dirname src/aig/gia/giaBalLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c > src/aig/gia/giaBalLut.d
-> ABC: `` Generating dependency: /src/aig/gia/giaBalAig.c
./depends.sh "gcc" `dirname src/aig/gia/giaBalAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c > src/aig/gia/giaBalAig.d
-> ABC: `` Generating dependency: /src/aig/gia/giaAigerExt.c
-> ABC: `` Generating dependency: /src/aig/gia/giaAiger.c
./depends.sh "gcc" `dirname src/aig/gia/giaAigerExt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c > src/aig/gia/giaAigerExt.d
./depends.sh "gcc" `dirname src/aig/gia/giaAiger.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c > src/aig/gia/giaAiger.d
-> ABC: `` Generating dependency: /src/aig/gia/giaAgi.c
./depends.sh "gcc" `dirname src/aig/gia/giaAgi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c > src/aig/gia/giaAgi.d
-> ABC: `` Generating dependency: /src/aig/gia/giaAig.c
./depends.sh "gcc" `dirname src/aig/gia/giaAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c > src/aig/gia/giaAig.d
-> ABC: `` Generating dependency: /src/aig/saig/saigWnd.c
./depends.sh "gcc" `dirname src/aig/saig/saigWnd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c > src/aig/saig/saigWnd.d
-> ABC: `` Generating dependency: /src/aig/saig/saigTrans.c
./depends.sh "gcc" `dirname src/aig/saig/saigTrans.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c > src/aig/saig/saigTrans.d
-> ABC: `` Generating dependency: /src/aig/saig/saigTempor.c
./depends.sh "gcc" `dirname src/aig/saig/saigTempor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c > src/aig/saig/saigTempor.d
-> ABC: `` Generating dependency: /src/aig/saig/saigSynch.c
./depends.sh "gcc" `dirname src/aig/saig/saigSynch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c > src/aig/saig/saigSynch.d
-> ABC: `` Generating dependency: /src/aig/saig/saigSwitch.c
./depends.sh "gcc" `dirname src/aig/saig/saigSwitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c > src/aig/saig/saigSwitch.d
-> ABC: `` Generating dependency: /src/aig/saig/saigStrSim.c
./depends.sh "gcc" `dirname src/aig/saig/saigStrSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c > src/aig/saig/saigStrSim.d
-> ABC: `` Generating dependency: /src/aig/saig/saigSimSeq.c
./depends.sh "gcc" `dirname src/aig/saig/saigSimSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c > src/aig/saig/saigSimSeq.d
-> ABC: `` Generating dependency: /src/aig/saig/saigSimMv.c
./depends.sh "gcc" `dirname src/aig/saig/saigSimMv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c > src/aig/saig/saigSimMv.d
-> ABC: `` Generating dependency: /src/aig/saig/saigSimFast.c
./depends.sh "gcc" `dirname src/aig/saig/saigSimFast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c > src/aig/saig/saigSimFast.d
-> ABC: `` Generating dependency: /src/aig/saig/saigScl.c
./depends.sh "gcc" `dirname src/aig/saig/saigScl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c > src/aig/saig/saigScl.d
-> ABC: `` Generating dependency: /src/aig/saig/saigRetStep.c
./depends.sh "gcc" `dirname src/aig/saig/saigRetStep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c > src/aig/saig/saigRetStep.d
-> ABC: `` Generating dependency: /src/aig/saig/saigRetMin.c
./depends.sh "gcc" `dirname src/aig/saig/saigRetMin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c > src/aig/saig/saigRetMin.d
-> ABC: `` Generating dependency: /src/aig/saig/saigRetFwd.c
./depends.sh "gcc" `dirname src/aig/saig/saigRetFwd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c > src/aig/saig/saigRetFwd.d
-> ABC: `` Generating dependency: /src/aig/saig/saigPhase.c
./depends.sh "gcc" `dirname src/aig/saig/saigPhase.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c > src/aig/saig/saigPhase.d
-> ABC: `` Generating dependency: /src/aig/saig/saigOutDec.c
./depends.sh "gcc" `dirname src/aig/saig/saigOutDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c > src/aig/saig/saigOutDec.d
-> ABC: `` Generating dependency: /src/aig/saig/saigMiter.c
./depends.sh "gcc" `dirname src/aig/saig/saigMiter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigMiter.c > src/aig/saig/saigMiter.d
-> ABC: `` Generating dependency: /src/aig/saig/saigIsoSlow.c
./depends.sh "gcc" `dirname src/aig/saig/saigIsoSlow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c > src/aig/saig/saigIsoSlow.d
-> ABC: `` Generating dependency: /src/aig/saig/saigIsoFast.c
./depends.sh "gcc" `dirname src/aig/saig/saigIsoFast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c > src/aig/saig/saigIsoFast.d
-> ABC: `` Generating dependency: /src/aig/saig/saigIso.c
./depends.sh "gcc" `dirname src/aig/saig/saigIso.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c > src/aig/saig/saigIso.d
-> ABC: `` Generating dependency: /src/aig/saig/saigIoa.c
./depends.sh "gcc" `dirname src/aig/saig/saigIoa.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c > src/aig/saig/saigIoa.d
-> ABC: `` Generating dependency: /src/aig/saig/saigInd.c
./depends.sh "gcc" `dirname src/aig/saig/saigInd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c > src/aig/saig/saigInd.d
-> ABC: `` Generating dependency: /src/aig/saig/saigDup.c
./depends.sh "gcc" `dirname src/aig/saig/saigDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c > src/aig/saig/saigDup.d
-> ABC: `` Generating dependency: /src/aig/saig/saigDual.c
./depends.sh "gcc" `dirname src/aig/saig/saigDual.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c > src/aig/saig/saigDual.d
-> ABC: `` Generating dependency: /src/aig/saig/saigConstr2.c
./depends.sh "gcc" `dirname src/aig/saig/saigConstr2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c > src/aig/saig/saigConstr2.d
-> ABC: `` Generating dependency: /src/aig/saig/saigConstr.c
./depends.sh "gcc" `dirname src/aig/saig/saigConstr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c > src/aig/saig/saigConstr.d
-> ABC: `` Generating dependency: /src/aig/saig/saigCone.c
./depends.sh "gcc" `dirname src/aig/saig/saigCone.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c > src/aig/saig/saigCone.d
-> ABC: `` Generating dependency: /src/aig/aig/aigWin.c
./depends.sh "gcc" `dirname src/aig/aig/aigWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c > src/aig/aig/aigWin.d
-> ABC: `` Generating dependency: /src/aig/aig/aigUtil.c
./depends.sh "gcc" `dirname src/aig/aig/aigUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c > src/aig/aig/aigUtil.d
-> ABC: `` Generating dependency: /src/aig/aig/aigTsim.c
-> ABC: `` Generating dependency: /src/aig/aig/aigTruth.c
./depends.sh "gcc" `dirname src/aig/aig/aigTsim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c > src/aig/aig/aigTsim.d
./depends.sh "gcc" `dirname src/aig/aig/aigTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c > src/aig/aig/aigTruth.d
-> ABC: `` Generating dependency: /src/aig/aig/aigTiming.c
./depends.sh "gcc" `dirname src/aig/aig/aigTiming.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c > src/aig/aig/aigTiming.d
-> ABC: `` Generating dependency: /src/aig/aig/aigTable.c
./depends.sh "gcc" `dirname src/aig/aig/aigTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c > src/aig/aig/aigTable.d
-> ABC: `` Generating dependency: /src/aig/aig/aigSplit.c
./depends.sh "gcc" `dirname src/aig/aig/aigSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c > src/aig/aig/aigSplit.d
-> ABC: `` Generating dependency: /src/aig/aig/aigShow.c
./depends.sh "gcc" `dirname src/aig/aig/aigShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c > src/aig/aig/aigShow.d
-> ABC: `` Generating dependency: /src/aig/aig/aigScl.c
./depends.sh "gcc" `dirname src/aig/aig/aigScl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c > src/aig/aig/aigScl.d
-> ABC: `` Generating dependency: /src/aig/aig/aigRetF.c
./depends.sh "gcc" `dirname src/aig/aig/aigRetF.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c > src/aig/aig/aigRetF.d
-> ABC: `` Generating dependency: /src/aig/aig/aigRet.c
./depends.sh "gcc" `dirname src/aig/aig/aigRet.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c > src/aig/aig/aigRet.d
-> ABC: `` Generating dependency: /src/aig/aig/aigRepr.c
./depends.sh "gcc" `dirname src/aig/aig/aigRepr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c > src/aig/aig/aigRepr.d
-> ABC: `` Generating dependency: /src/aig/aig/aigPartSat.c
./depends.sh "gcc" `dirname src/aig/aig/aigPartSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c > src/aig/aig/aigPartSat.d
-> ABC: `` Generating dependency: /src/aig/aig/aigPartReg.c
./depends.sh "gcc" `dirname src/aig/aig/aigPartReg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c > src/aig/aig/aigPartReg.d
-> ABC: `` Generating dependency: /src/aig/aig/aigPart.c
./depends.sh "gcc" `dirname src/aig/aig/aigPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c > src/aig/aig/aigPart.d
-> ABC: `` Generating dependency: /src/aig/aig/aigPack.c
./depends.sh "gcc" `dirname src/aig/aig/aigPack.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c > src/aig/aig/aigPack.d
-> ABC: `` Generating dependency: /src/aig/aig/aigOrder.c
./depends.sh "gcc" `dirname src/aig/aig/aigOrder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c > src/aig/aig/aigOrder.d
-> ABC: `` Generating dependency: /src/aig/aig/aigOper.c
./depends.sh "gcc" `dirname src/aig/aig/aigOper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c > src/aig/aig/aigOper.d
-> ABC: `` Generating dependency: /src/aig/aig/aigObj.c
./depends.sh "gcc" `dirname src/aig/aig/aigObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c > src/aig/aig/aigObj.d
-> ABC: `` Generating dependency: /src/aig/aig/aigMffc.c
./depends.sh "gcc" `dirname src/aig/aig/aigMffc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c > src/aig/aig/aigMffc.d
-> ABC: `` Generating dependency: /src/aig/aig/aigMem.c
-> ABC: `` Generating dependency: /src/aig/aig/aigMan.c
./depends.sh "gcc" `dirname src/aig/aig/aigMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c > src/aig/aig/aigMem.d
./depends.sh "gcc" `dirname src/aig/aig/aigMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c > src/aig/aig/aigMan.d
-> ABC: `` Generating dependency: /src/aig/aig/aigJust.c
./depends.sh "gcc" `dirname src/aig/aig/aigJust.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c > src/aig/aig/aigJust.d
-> ABC: `` Generating dependency: /src/aig/aig/aigInter.c
-> ABC: `` Generating dependency: /src/aig/aig/aigFrames.c
./depends.sh "gcc" `dirname src/aig/aig/aigInter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c > src/aig/aig/aigInter.d
./depends.sh "gcc" `dirname src/aig/aig/aigFrames.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c > src/aig/aig/aigFrames.d
-> ABC: `` Generating dependency: /src/aig/aig/aigFanout.c
./depends.sh "gcc" `dirname src/aig/aig/aigFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c > src/aig/aig/aigFanout.d
-> ABC: `` Generating dependency: /src/aig/aig/aigDup.c
./depends.sh "gcc" `dirname src/aig/aig/aigDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c > src/aig/aig/aigDup.d
-> ABC: `` Generating dependency: /src/aig/aig/aigDfs.c
./depends.sh "gcc" `dirname src/aig/aig/aigDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c > src/aig/aig/aigDfs.d
-> ABC: `` Generating dependency: /src/aig/aig/aigCuts.c
-> ABC: `` Generating dependency: /src/aig/aig/aigCanon.c
./depends.sh "gcc" `dirname src/aig/aig/aigCuts.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c > src/aig/aig/aigCuts.d
./depends.sh "gcc" `dirname src/aig/aig/aigCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c > src/aig/aig/aigCanon.d
-> ABC: `` Generating dependency: /src/aig/aig/aigCheck.c
./depends.sh "gcc" `dirname src/aig/aig/aigCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c > src/aig/aig/aigCheck.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswUnique.c
./depends.sh "gcc" `dirname src/proof/ssw/sswUnique.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c > src/proof/ssw/sswUnique.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswSweep.c
./depends.sh "gcc" `dirname src/proof/ssw/sswSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c > src/proof/ssw/sswSweep.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswSimSat.c
./depends.sh "gcc" `dirname src/proof/ssw/sswSimSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c > src/proof/ssw/sswSimSat.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswSim.c
./depends.sh "gcc" `dirname src/proof/ssw/sswSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c > src/proof/ssw/sswSim.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswSemi.c
./depends.sh "gcc" `dirname src/proof/ssw/sswSemi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c > src/proof/ssw/sswSemi.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswSat.c
./depends.sh "gcc" `dirname src/proof/ssw/sswSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c > src/proof/ssw/sswSat.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswRarity.c
./depends.sh "gcc" `dirname src/proof/ssw/sswRarity.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c > src/proof/ssw/sswRarity.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswPairs.c
./depends.sh "gcc" `dirname src/proof/ssw/sswPairs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c > src/proof/ssw/sswPairs.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswPart.c
./depends.sh "gcc" `dirname src/proof/ssw/sswPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c > src/proof/ssw/sswPart.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswMan.c
./depends.sh "gcc" `dirname src/proof/ssw/sswMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c > src/proof/ssw/sswMan.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswLcorr.c
./depends.sh "gcc" `dirname src/proof/ssw/sswLcorr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c > src/proof/ssw/sswLcorr.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswIslands.c
./depends.sh "gcc" `dirname src/proof/ssw/sswIslands.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c > src/proof/ssw/sswIslands.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswFilter.c
./depends.sh "gcc" `dirname src/proof/ssw/sswFilter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c > src/proof/ssw/sswFilter.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswDyn.c
./depends.sh "gcc" `dirname src/proof/ssw/sswDyn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c > src/proof/ssw/sswDyn.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswCore.c
./depends.sh "gcc" `dirname src/proof/ssw/sswCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c > src/proof/ssw/sswCore.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswConstr.c
./depends.sh "gcc" `dirname src/proof/ssw/sswConstr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c > src/proof/ssw/sswConstr.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswCnf.c
./depends.sh "gcc" `dirname src/proof/ssw/sswCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c > src/proof/ssw/sswCnf.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswClass.c
./depends.sh "gcc" `dirname src/proof/ssw/sswClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c > src/proof/ssw/sswClass.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswBmc.c
./depends.sh "gcc" `dirname src/proof/ssw/sswBmc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c > src/proof/ssw/sswBmc.d
-> ABC: `` Generating dependency: /src/proof/ssw/sswAig.c
./depends.sh "gcc" `dirname src/proof/ssw/sswAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c > src/proof/ssw/sswAig.d
-> ABC: `` Generating dependency: /src/proof/fra/fraSim.c
-> ABC: `` Generating dependency: /src/proof/fra/fraSec.c
./depends.sh "gcc" `dirname src/proof/fra/fraSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c > src/proof/fra/fraSim.d
./depends.sh "gcc" `dirname src/proof/fra/fraSec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c > src/proof/fra/fraSec.d
-> ABC: `` Generating dependency: /src/proof/fra/fraSat.c
./depends.sh "gcc" `dirname src/proof/fra/fraSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c > src/proof/fra/fraSat.d
-> ABC: `` Generating dependency: /src/proof/fra/fraPart.c
./depends.sh "gcc" `dirname src/proof/fra/fraPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c > src/proof/fra/fraPart.d
-> ABC: `` Generating dependency: /src/proof/fra/fraMan.c
./depends.sh "gcc" `dirname src/proof/fra/fraMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c > src/proof/fra/fraMan.d
-> ABC: `` Generating dependency: /src/proof/fra/fraLcr.c
./depends.sh "gcc" `dirname src/proof/fra/fraLcr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraLcr.c > src/proof/fra/fraLcr.d
-> ABC: `` Generating dependency: /src/proof/fra/fraIndVer.c
./depends.sh "gcc" `dirname src/proof/fra/fraIndVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraIndVer.c > src/proof/fra/fraIndVer.d
-> ABC: `` Generating dependency: /src/proof/fra/fraInd.c
./depends.sh "gcc" `dirname src/proof/fra/fraInd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c > src/proof/fra/fraInd.d
-> ABC: `` Generating dependency: /src/proof/fra/fraImp.c
./depends.sh "gcc" `dirname src/proof/fra/fraImp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c > src/proof/fra/fraImp.d
-> ABC: `` Generating dependency: /src/proof/fra/fraHot.c
./depends.sh "gcc" `dirname src/proof/fra/fraHot.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c > src/proof/fra/fraHot.d
-> ABC: `` Generating dependency: /src/proof/fra/fraCore.c
./depends.sh "gcc" `dirname src/proof/fra/fraCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c > src/proof/fra/fraCore.d
-> ABC: `` Generating dependency: /src/proof/fra/fraCnf.c
-> ABC: `` Generating dependency: /src/proof/fra/fraClaus.c
./depends.sh "gcc" `dirname src/proof/fra/fraCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c > src/proof/fra/fraCnf.d
./depends.sh "gcc" `dirname src/proof/fra/fraClaus.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c > src/proof/fra/fraClaus.d
-> ABC: `` Generating dependency: /src/proof/fra/fraClau.c
./depends.sh "gcc" `dirname src/proof/fra/fraClau.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c > src/proof/fra/fraClau.d
-> ABC: `` Generating dependency: /src/proof/fra/fraClass.c
./depends.sh "gcc" `dirname src/proof/fra/fraClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c > src/proof/fra/fraClass.d
-> ABC: `` Generating dependency: /src/proof/fra/fraCec.c
./depends.sh "gcc" `dirname src/proof/fra/fraCec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c > src/proof/fra/fraCec.d
-> ABC: `` Generating dependency: /src/proof/fra/fraBmc.c
./depends.sh "gcc" `dirname src/proof/fra/fraBmc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c > src/proof/fra/fraBmc.d
-> ABC: `` Generating dependency: /src/proof/fraig/fraigVec.c
./depends.sh "gcc" `dirname src/proof/fraig/fraigVec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c > src/proof/fraig/fraigVec.d
-> ABC: `` Generating dependency: /src/proof/fraig/fraigUtil.c
./depends.sh "gcc" `dirname src/proof/fraig/fraigUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c > src/proof/fraig/fraigUtil.d
-> ABC: `` Generating dependency: /src/proof/fraig/fraigTable.c
-> ABC: `` Generating dependency: /src/proof/fraig/fraigSat.c
./depends.sh "gcc" `dirname src/proof/fraig/fraigTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c > src/proof/fraig/fraigTable.d
./depends.sh "gcc" `dirname src/proof/fraig/fraigSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c > src/proof/fraig/fraigSat.d
-> ABC: `` Generating dependency: /src/proof/fraig/fraigPrime.c
./depends.sh "gcc" `dirname src/proof/fraig/fraigPrime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c > src/proof/fraig/fraigPrime.d
-> ABC: `` Generating dependency: /src/proof/fraig/fraigNode.c
./depends.sh "gcc" `dirname src/proof/fraig/fraigNode.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c > src/proof/fraig/fraigNode.d
-> ABC: `` Generating dependency: /src/proof/fraig/fraigMem.c
./depends.sh "gcc" `dirname src/proof/fraig/fraigMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c > src/proof/fraig/fraigMem.d
-> ABC: `` Generating dependency: /src/proof/fraig/fraigMan.c
./depends.sh "gcc" `dirname src/proof/fraig/fraigMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c > src/proof/fraig/fraigMan.d
-> ABC: `` Generating dependency: /src/proof/fraig/fraigFeed.c
./depends.sh "gcc" `dirname src/proof/fraig/fraigFeed.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c > src/proof/fraig/fraigFeed.d
-> ABC: `` Generating dependency: /src/proof/fraig/fraigFanout.c
./depends.sh "gcc" `dirname src/proof/fraig/fraigFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c > src/proof/fraig/fraigFanout.d
-> ABC: `` Generating dependency: /src/proof/fraig/fraigCanon.c
./depends.sh "gcc" `dirname src/proof/fraig/fraigCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigCanon.c > src/proof/fraig/fraigCanon.d
-> ABC: `` Generating dependency: /src/proof/fraig/fraigApi.c
./depends.sh "gcc" `dirname src/proof/fraig/fraigApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigApi.c > src/proof/fraig/fraigApi.d
-> ABC: `` Generating dependency: /src/proof/dch/dchSweep.c
./depends.sh "gcc" `dirname src/proof/dch/dchSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSweep.c > src/proof/dch/dchSweep.d
-> ABC: `` Generating dependency: /src/proof/dch/dchSimSat.c
./depends.sh "gcc" `dirname src/proof/dch/dchSimSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c > src/proof/dch/dchSimSat.d
-> ABC: `` Generating dependency: /src/proof/dch/dchSim.c
-> ABC: `` Generating dependency: /src/proof/dch/dchSat.c
./depends.sh "gcc" `dirname src/proof/dch/dchSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSim.c > src/proof/dch/dchSim.d
./depends.sh "gcc" `dirname src/proof/dch/dchSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c > src/proof/dch/dchSat.d
-> ABC: `` Generating dependency: /src/proof/dch/dchMan.c
./depends.sh "gcc" `dirname src/proof/dch/dchMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c > src/proof/dch/dchMan.d
-> ABC: `` Generating dependency: /src/proof/dch/dchCore.c
./depends.sh "gcc" `dirname src/proof/dch/dchCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c > src/proof/dch/dchCore.d
-> ABC: `` Generating dependency: /src/proof/dch/dchCnf.c
./depends.sh "gcc" `dirname src/proof/dch/dchCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c > src/proof/dch/dchCnf.d
-> ABC: `` Generating dependency: /src/proof/dch/dchClass.c
./depends.sh "gcc" `dirname src/proof/dch/dchClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c > src/proof/dch/dchClass.d
-> ABC: `` Generating dependency: /src/proof/dch/dchChoice.c
./depends.sh "gcc" `dirname src/proof/dch/dchChoice.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c > src/proof/dch/dchChoice.d
-> ABC: `` Generating dependency: /src/proof/dch/dchAig.c
./depends.sh "gcc" `dirname src/proof/dch/dchAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c > src/proof/dch/dchAig.d
-> ABC: `` Generating dependency: /src/proof/acec/acecXor.c
./depends.sh "gcc" `dirname src/proof/acec/acecXor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c > src/proof/acec/acecXor.d
-> ABC: `` Generating dependency: /src/proof/acec/acec2Mult.c
./depends.sh "gcc" `dirname src/proof/acec/acec2Mult.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c > src/proof/acec/acec2Mult.d
-> ABC: `` Generating dependency: /src/proof/acec/acecUtil.c
./depends.sh "gcc" `dirname src/proof/acec/acecUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c > src/proof/acec/acecUtil.d
-> ABC: `` Generating dependency: /src/proof/acec/acecTree.c
./depends.sh "gcc" `dirname src/proof/acec/acecTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c > src/proof/acec/acecTree.d
-> ABC: `` Generating dependency: /src/proof/acec/acecSt.c
./depends.sh "gcc" `dirname src/proof/acec/acecSt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c > src/proof/acec/acecSt.d
-> ABC: `` Generating dependency: /src/proof/acec/acecPolyn.c
./depends.sh "gcc" `dirname src/proof/acec/acecPolyn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c > src/proof/acec/acecPolyn.d
-> ABC: `` Generating dependency: /src/proof/acec/acecOrder.c
./depends.sh "gcc" `dirname src/proof/acec/acecOrder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c > src/proof/acec/acecOrder.d
-> ABC: `` Generating dependency: /src/proof/acec/acecNorm.c
./depends.sh "gcc" `dirname src/proof/acec/acecNorm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c > src/proof/acec/acecNorm.d
-> ABC: `` Generating dependency: /src/proof/acec/acecMult.c
./depends.sh "gcc" `dirname src/proof/acec/acecMult.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c > src/proof/acec/acecMult.d
-> ABC: `` Generating dependency: /src/proof/acec/acecFadds.c
./depends.sh "gcc" `dirname src/proof/acec/acecFadds.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c > src/proof/acec/acecFadds.d
-> ABC: `` Generating dependency: /src/proof/acec/acecCover.c
./depends.sh "gcc" `dirname src/proof/acec/acecCover.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCover.c > src/proof/acec/acecCover.d
-> ABC: `` Generating dependency: /src/proof/acec/acecPool.c
./depends.sh "gcc" `dirname src/proof/acec/acecPool.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c > src/proof/acec/acecPool.d
-> ABC: `` Generating dependency: /src/proof/acec/acecPo.c
./depends.sh "gcc" `dirname src/proof/acec/acecPo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c > src/proof/acec/acecPo.d
-> ABC: `` Generating dependency: /src/proof/acec/acecPa.c
./depends.sh "gcc" `dirname src/proof/acec/acecPa.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c > src/proof/acec/acecPa.d
-> ABC: `` Generating dependency: /src/proof/acec/acecRe.c
./depends.sh "gcc" `dirname src/proof/acec/acecRe.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c > src/proof/acec/acecRe.d
-> ABC: `` Generating dependency: /src/proof/acec/acecBo.c
./depends.sh "gcc" `dirname src/proof/acec/acecBo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c > src/proof/acec/acecBo.d
-> ABC: `` Generating dependency: /src/proof/acec/acecCo.c
./depends.sh "gcc" `dirname src/proof/acec/acecCo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCo.c > src/proof/acec/acecCo.d
-> ABC: `` Generating dependency: /src/proof/acec/acecCore.c
./depends.sh "gcc" `dirname src/proof/acec/acecCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c > src/proof/acec/acecCore.d
-> ABC: `` Generating dependency: /src/proof/acec/acecCl.c
./depends.sh "gcc" `dirname src/proof/acec/acecCl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c > src/proof/acec/acecCl.d
-> ABC: `` Generating dependency: /src/proof/cec/cecSweep.c
./depends.sh "gcc" `dirname src/proof/cec/cecSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c > src/proof/cec/cecSweep.d
-> ABC: `` Generating dependency: /src/proof/cec/cecSynth.c
./depends.sh "gcc" `dirname src/proof/cec/cecSynth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c > src/proof/cec/cecSynth.d
-> ABC: `` Generating dependency: /src/proof/cec/cecSplit.c
./depends.sh "gcc" `dirname src/proof/cec/cecSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c > src/proof/cec/cecSplit.d
-> ABC: `` Generating dependency: /src/proof/cec/cecSolveG.c
./depends.sh "gcc" `dirname src/proof/cec/cecSolveG.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c > src/proof/cec/cecSolveG.d
-> ABC: `` Generating dependency: /src/proof/cec/cecSolve.c
./depends.sh "gcc" `dirname src/proof/cec/cecSolve.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c > src/proof/cec/cecSolve.d
-> ABC: `` Generating dependency: /src/proof/cec/cecSim.c
./depends.sh "gcc" `dirname src/proof/cec/cecSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c > src/proof/cec/cecSim.d
-> ABC: `` Generating dependency: /src/proof/cec/cecSeq.c
./depends.sh "gcc" `dirname src/proof/cec/cecSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c > src/proof/cec/cecSeq.d
-> ABC: `` Generating dependency: /src/proof/cec/cecSatG3.c
./depends.sh "gcc" `dirname src/proof/cec/cecSatG3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG3.c > src/proof/cec/cecSatG3.d
-> ABC: `` Generating dependency: /src/proof/cec/cecSatG2.c
./depends.sh "gcc" `dirname src/proof/cec/cecSatG2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c > src/proof/cec/cecSatG2.d
-> ABC: `` Generating dependency: /src/proof/cec/cecSatG.c
./depends.sh "gcc" `dirname src/proof/cec/cecSatG.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c > src/proof/cec/cecSatG.d
-> ABC: `` Generating dependency: /src/proof/cec/cecSat.c
-> ABC: `` Generating dependency: /src/proof/cec/cecPat.c
./depends.sh "gcc" `dirname src/proof/cec/cecSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c > src/proof/cec/cecSat.d
./depends.sh "gcc" `dirname src/proof/cec/cecPat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c > src/proof/cec/cecPat.d
-> ABC: `` Generating dependency: /src/proof/cec/cecMan.c
./depends.sh "gcc" `dirname src/proof/cec/cecMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c > src/proof/cec/cecMan.d
-> ABC: `` Generating dependency: /src/proof/cec/cecIso.c
./depends.sh "gcc" `dirname src/proof/cec/cecIso.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c > src/proof/cec/cecIso.d
-> ABC: `` Generating dependency: /src/proof/cec/cecCorr.c
./depends.sh "gcc" `dirname src/proof/cec/cecCorr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c > src/proof/cec/cecCorr.d
-> ABC: `` Generating dependency: /src/proof/cec/cecCore.c
./depends.sh "gcc" `dirname src/proof/cec/cecCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c > src/proof/cec/cecCore.d
-> ABC: `` Generating dependency: /src/proof/cec/cecClass.c
./depends.sh "gcc" `dirname src/proof/cec/cecClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c > src/proof/cec/cecClass.d
-> ABC: `` Generating dependency: /src/proof/cec/cecChoice.c
./depends.sh "gcc" `dirname src/proof/cec/cecChoice.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c > src/proof/cec/cecChoice.d
-> ABC: `` Generating dependency: /src/proof/cec/cecCec.c
./depends.sh "gcc" `dirname src/proof/cec/cecCec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c > src/proof/cec/cecCec.d
-> ABC: `` Generating dependency: /src/proof/int/intUtil.c
./depends.sh "gcc" `dirname src/proof/int/intUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c > src/proof/int/intUtil.d
-> ABC: `` Generating dependency: /src/proof/int/intMan.c
./depends.sh "gcc" `dirname src/proof/int/intMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c > src/proof/int/intMan.d
-> ABC: `` Generating dependency: /src/proof/int/intM114.c
./depends.sh "gcc" `dirname src/proof/int/intM114.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c > src/proof/int/intM114.d
-> ABC: `` Generating dependency: /src/proof/int/intInter.c
./depends.sh "gcc" `dirname src/proof/int/intInter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c > src/proof/int/intInter.d
-> ABC: `` Generating dependency: /src/proof/int/intFrames.c
./depends.sh "gcc" `dirname src/proof/int/intFrames.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c > src/proof/int/intFrames.d
-> ABC: `` Generating dependency: /src/proof/int/intDup.c
./depends.sh "gcc" `dirname src/proof/int/intDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c > src/proof/int/intDup.d
-> ABC: `` Generating dependency: /src/proof/int/intCtrex.c
./depends.sh "gcc" `dirname src/proof/int/intCtrex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c > src/proof/int/intCtrex.d
-> ABC: `` Generating dependency: /src/proof/int/intCore.c
./depends.sh "gcc" `dirname src/proof/int/intCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c > src/proof/int/intCore.d
-> ABC: `` Generating dependency: /src/proof/int/intContain.c
./depends.sh "gcc" `dirname src/proof/int/intContain.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c > src/proof/int/intContain.d
-> ABC: `` Generating dependency: /src/proof/int/intCheck.c
./depends.sh "gcc" `dirname src/proof/int/intCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c > src/proof/int/intCheck.d
-> ABC: `` Generating dependency: /src/proof/ssc/sscUtil.c
./depends.sh "gcc" `dirname src/proof/ssc/sscUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c > src/proof/ssc/sscUtil.d
-> ABC: `` Generating dependency: /src/proof/ssc/sscSim.c
./depends.sh "gcc" `dirname src/proof/ssc/sscSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c > src/proof/ssc/sscSim.d
-> ABC: `` Generating dependency: /src/proof/ssc/sscSat.c
./depends.sh "gcc" `dirname src/proof/ssc/sscSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c > src/proof/ssc/sscSat.d
-> ABC: `` Generating dependency: /src/proof/ssc/sscCore.c
./depends.sh "gcc" `dirname src/proof/ssc/sscCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c > src/proof/ssc/sscCore.d
-> ABC: `` Generating dependency: /src/proof/ssc/sscClass.c
./depends.sh "gcc" `dirname src/proof/ssc/sscClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c > src/proof/ssc/sscClass.d
-> ABC: `` Generating dependency: /src/proof/live/combination.c
./depends.sh "gcc" `dirname src/proof/live/combination.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c > src/proof/live/combination.d
-> ABC: `` Generating dependency: /src/proof/live/kLiveConstraints.c
./depends.sh "gcc" `dirname src/proof/live/kLiveConstraints.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c > src/proof/live/kLiveConstraints.d
-> ABC: `` Generating dependency: /src/proof/live/arenaViolation.c
./depends.sh "gcc" `dirname src/proof/live/arenaViolation.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/arenaViolation.c > src/proof/live/arenaViolation.d
-> ABC: `` Generating dependency: /src/proof/live/disjunctiveMonotone.c
./depends.sh "gcc" `dirname src/proof/live/disjunctiveMonotone.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c > src/proof/live/disjunctiveMonotone.d
-> ABC: `` Generating dependency: /src/proof/live/monotone.c
./depends.sh "gcc" `dirname src/proof/live/monotone.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c > src/proof/live/monotone.d
-> ABC: `` Generating dependency: /src/proof/live/kliveness.c
./depends.sh "gcc" `dirname src/proof/live/kliveness.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c > src/proof/live/kliveness.d
-> ABC: `` Generating dependency: /src/proof/live/ltl_parser.c
./depends.sh "gcc" `dirname src/proof/live/ltl_parser.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c > src/proof/live/ltl_parser.d
-> ABC: `` Generating dependency: /src/proof/live/liveness_sim.c
./depends.sh "gcc" `dirname src/proof/live/liveness_sim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c > src/proof/live/liveness_sim.d
-> ABC: `` Generating dependency: /src/proof/live/liveness.c
./depends.sh "gcc" `dirname src/proof/live/liveness.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c > src/proof/live/liveness.d
-> ABC: `` Generating dependency: /src/proof/abs/absUtil.c
./depends.sh "gcc" `dirname src/proof/abs/absUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c > src/proof/abs/absUtil.d
-> ABC: `` Generating dependency: /src/proof/abs/absVta.c
./depends.sh "gcc" `dirname src/proof/abs/absVta.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c > src/proof/abs/absVta.d
-> ABC: `` Generating dependency: /src/proof/abs/absRpmOld.c
./depends.sh "gcc" `dirname src/proof/abs/absRpmOld.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c > src/proof/abs/absRpmOld.d
-> ABC: `` Generating dependency: /src/proof/abs/absRpm.c
./depends.sh "gcc" `dirname src/proof/abs/absRpm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpm.c > src/proof/abs/absRpm.d
-> ABC: `` Generating dependency: /src/proof/abs/absRefSelect.c
./depends.sh "gcc" `dirname src/proof/abs/absRefSelect.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c > src/proof/abs/absRefSelect.d
-> ABC: `` Generating dependency: /src/proof/abs/absRef.c
./depends.sh "gcc" `dirname src/proof/abs/absRef.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c > src/proof/abs/absRef.d
-> ABC: `` Generating dependency: /src/proof/abs/absPth.c
./depends.sh "gcc" `dirname src/proof/abs/absPth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c > src/proof/abs/absPth.d
-> ABC: `` Generating dependency: /src/proof/abs/absOut.c
./depends.sh "gcc" `dirname src/proof/abs/absOut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c > src/proof/abs/absOut.d
-> ABC: `` Generating dependency: /src/proof/abs/absOldSim.c
./depends.sh "gcc" `dirname src/proof/abs/absOldSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c > src/proof/abs/absOldSim.d
-> ABC: `` Generating dependency: /src/proof/abs/absOldSat.c
./depends.sh "gcc" `dirname src/proof/abs/absOldSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c > src/proof/abs/absOldSat.d
-> ABC: `` Generating dependency: /src/proof/abs/absOldRef.c
./depends.sh "gcc" `dirname src/proof/abs/absOldRef.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c > src/proof/abs/absOldRef.d
-> ABC: `` Generating dependency: /src/proof/abs/absOldCex.c
./depends.sh "gcc" `dirname src/proof/abs/absOldCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c > src/proof/abs/absOldCex.d
-> ABC: `` Generating dependency: /src/proof/abs/absIter.c
./depends.sh "gcc" `dirname src/proof/abs/absIter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c > src/proof/abs/absIter.d
-> ABC: `` Generating dependency: /src/proof/abs/absGlaOld.c
./depends.sh "gcc" `dirname src/proof/abs/absGlaOld.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c > src/proof/abs/absGlaOld.d
-> ABC: `` Generating dependency: /src/proof/abs/absGla.c
./depends.sh "gcc" `dirname src/proof/abs/absGla.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c > src/proof/abs/absGla.d
-> ABC: `` Generating dependency: /src/proof/abs/absDup.c
./depends.sh "gcc" `dirname src/proof/abs/absDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c > src/proof/abs/absDup.d
-> ABC: `` Generating dependency: /src/proof/pdr/pdrUtil.c
./depends.sh "gcc" `dirname src/proof/pdr/pdrUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c > src/proof/pdr/pdrUtil.d
-> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim3.c
./depends.sh "gcc" `dirname src/proof/pdr/pdrTsim3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim3.c > src/proof/pdr/pdrTsim3.d
-> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim2.c
./depends.sh "gcc" `dirname src/proof/pdr/pdrTsim2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c > src/proof/pdr/pdrTsim2.d
-> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim.c
./depends.sh "gcc" `dirname src/proof/pdr/pdrTsim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c > src/proof/pdr/pdrTsim.d
-> ABC: `` Generating dependency: /src/proof/pdr/pdrSat.c
./depends.sh "gcc" `dirname src/proof/pdr/pdrSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c > src/proof/pdr/pdrSat.d
-> ABC: `` Generating dependency: /src/proof/pdr/pdrMan.c
./depends.sh "gcc" `dirname src/proof/pdr/pdrMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c > src/proof/pdr/pdrMan.d
-> ABC: `` Generating dependency: /src/proof/pdr/pdrInv.c
./depends.sh "gcc" `dirname src/proof/pdr/pdrInv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c > src/proof/pdr/pdrInv.d
-> ABC: `` Generating dependency: /src/proof/pdr/pdrIncr.c
./depends.sh "gcc" `dirname src/proof/pdr/pdrIncr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c > src/proof/pdr/pdrIncr.d
-> ABC: `` Generating dependency: /src/proof/pdr/pdrCore.c
./depends.sh "gcc" `dirname src/proof/pdr/pdrCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c > src/proof/pdr/pdrCore.d
-> ABC: `` Generating dependency: /src/proof/pdr/pdrCnf.c
./depends.sh "gcc" `dirname src/proof/pdr/pdrCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c > src/proof/pdr/pdrCnf.d
-> ABC: `` Generating dependency: /src/bool/rpo/rpo.c
./depends.sh "gcc" `dirname src/bool/rpo/rpo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c > src/bool/rpo/rpo.d
-> ABC: `` Generating dependency: /src/bool/rsb/rsbMan.c
./depends.sh "gcc" `dirname src/bool/rsb/rsbMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c > src/bool/rsb/rsbMan.d
-> ABC: `` Generating dependency: /src/bool/rsb/rsbDec6.c
./depends.sh "gcc" `dirname src/bool/rsb/rsbDec6.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c > src/bool/rsb/rsbDec6.d
-> ABC: `` Generating dependency: /src/bool/lucky/luckySwap.c
./depends.sh "gcc" `dirname src/bool/lucky/luckySwap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c > src/bool/lucky/luckySwap.d
-> ABC: `` Generating dependency: /src/bool/lucky/luckySwapIJ.c
./depends.sh "gcc" `dirname src/bool/lucky/luckySwapIJ.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c > src/bool/lucky/luckySwapIJ.d
-> ABC: `` Generating dependency: /src/bool/lucky/luckySimple.c
./depends.sh "gcc" `dirname src/bool/lucky/luckySimple.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c > src/bool/lucky/luckySimple.d
-> ABC: `` Generating dependency: /src/bool/lucky/luckyRead.c
./depends.sh "gcc" `dirname src/bool/lucky/luckyRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c > src/bool/lucky/luckyRead.d
-> ABC: `` Generating dependency: /src/bool/lucky/luckyFast6.c
./depends.sh "gcc" `dirname src/bool/lucky/luckyFast6.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c > src/bool/lucky/luckyFast6.d
-> ABC: `` Generating dependency: /src/bool/lucky/luckyFast16.c
./depends.sh "gcc" `dirname src/bool/lucky/luckyFast16.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c > src/bool/lucky/luckyFast16.d
-> ABC: `` Generating dependency: /src/bool/lucky/lucky.c
./depends.sh "gcc" `dirname src/bool/lucky/lucky.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c > src/bool/lucky/lucky.d
-> ABC: `` Generating dependency: /src/bool/kit/kitTruth.c
./depends.sh "gcc" `dirname src/bool/kit/kitTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c > src/bool/kit/kitTruth.d
-> ABC: `` Generating dependency: /src/bool/kit/kitSop.c
./depends.sh "gcc" `dirname src/bool/kit/kitSop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c > src/bool/kit/kitSop.d
-> ABC: `` Generating dependency: /src/bool/kit/kitPla.c
./depends.sh "gcc" `dirname src/bool/kit/kitPla.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c > src/bool/kit/kitPla.d
-> ABC: `` Generating dependency: /src/bool/kit/kitHop.c
./depends.sh "gcc" `dirname src/bool/kit/kitHop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c > src/bool/kit/kitHop.d
-> ABC: `` Generating dependency: /src/bool/kit/kitIsop.c
./depends.sh "gcc" `dirname src/bool/kit/kitIsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c > src/bool/kit/kitIsop.d
-> ABC: `` Generating dependency: /src/bool/kit/kitGraph.c
./depends.sh "gcc" `dirname src/bool/kit/kitGraph.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c > src/bool/kit/kitGraph.d
-> ABC: `` Generating dependency: /src/bool/kit/kitFactor.c
./depends.sh "gcc" `dirname src/bool/kit/kitFactor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c > src/bool/kit/kitFactor.d
-> ABC: `` Generating dependency: /src/bool/kit/kitDsd.c
./depends.sh "gcc" `dirname src/bool/kit/kitDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c > src/bool/kit/kitDsd.d
-> ABC: `` Generating dependency: /src/bool/kit/cloud.c
./depends.sh "gcc" `dirname src/bool/kit/cloud.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c > src/bool/kit/cloud.d
-> ABC: `` Generating dependency: /src/bool/kit/kitCloud.c
./depends.sh "gcc" `dirname src/bool/kit/kitCloud.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c > src/bool/kit/kitCloud.d
-> ABC: `` Generating dependency: /src/bool/kit/kitBdd.c
./depends.sh "gcc" `dirname src/bool/kit/kitBdd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c > src/bool/kit/kitBdd.d
-> ABC: `` Generating dependency: /src/bool/kit/kitAig.c
./depends.sh "gcc" `dirname src/bool/kit/kitAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitAig.c > src/bool/kit/kitAig.d
-> ABC: `` Generating dependency: /src/bool/dec/decUtil.c
./depends.sh "gcc" `dirname src/bool/dec/decUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c > src/bool/dec/decUtil.d
-> ABC: `` Generating dependency: /src/bool/dec/decPrint.c
-> ABC: `` Generating dependency: /src/bool/dec/decMan.c
./depends.sh "gcc" `dirname src/bool/dec/decPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c > src/bool/dec/decPrint.d
./depends.sh "gcc" `dirname src/bool/dec/decMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c > src/bool/dec/decMan.d
-> ABC: `` Generating dependency: /src/bool/dec/decFactor.c
./depends.sh "gcc" `dirname src/bool/dec/decFactor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c > src/bool/dec/decFactor.d
-> ABC: `` Generating dependency: /src/bool/dec/decAbc.c
./depends.sh "gcc" `dirname src/bool/dec/decAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c > src/bool/dec/decAbc.d
-> ABC: `` Generating dependency: /src/bool/bdc/bdcTable.c
./depends.sh "gcc" `dirname src/bool/bdc/bdcTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c > src/bool/bdc/bdcTable.d
-> ABC: `` Generating dependency: /src/bool/bdc/bdcSpfd.c
./depends.sh "gcc" `dirname src/bool/bdc/bdcSpfd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c > src/bool/bdc/bdcSpfd.d
-> ABC: `` Generating dependency: /src/bool/bdc/bdcDec.c
./depends.sh "gcc" `dirname src/bool/bdc/bdcDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c > src/bool/bdc/bdcDec.d
-> ABC: `` Generating dependency: /src/bool/bdc/bdcCore.c
./depends.sh "gcc" `dirname src/bool/bdc/bdcCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c > src/bool/bdc/bdcCore.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcUnroll.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcUnroll.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c > src/sat/bmc/bmcUnroll.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcMulti.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcMulti.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c > src/sat/bmc/bmcMulti.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcMesh2.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcMesh2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c > src/sat/bmc/bmcMesh2.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcMesh.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcMesh.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c > src/sat/bmc/bmcMesh.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcMaxi.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcMaxi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c > src/sat/bmc/bmcMaxi.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj3.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcMaj3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj3.c > src/sat/bmc/bmcMaj3.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj2.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcMaj2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c > src/sat/bmc/bmcMaj2.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcMaj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c > src/sat/bmc/bmcMaj.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcLoad.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcLoad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c > src/sat/bmc/bmcLoad.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcInse.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcInse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c > src/sat/bmc/bmcInse.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcICheck.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcICheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c > src/sat/bmc/bmcICheck.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcGen.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcGen.c > src/sat/bmc/bmcGen.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcFx.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcFx.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFx.c > src/sat/bmc/bmcFx.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcFault.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcFault.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFault.c > src/sat/bmc/bmcFault.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcExpand.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcExpand.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcExpand.c > src/sat/bmc/bmcExpand.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcEco.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcEco.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c > src/sat/bmc/bmcEco.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcClp.c
-> ABC: `` Generating dependency: /src/sat/bmc/bmcChain.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcClp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c > src/sat/bmc/bmcClp.d
./depends.sh "gcc" `dirname src/sat/bmc/bmcChain.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c > src/sat/bmc/bmcChain.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcCexTools.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcCexTools.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c > src/sat/bmc/bmcCexTools.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin2.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcCexMin2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin2.c > src/sat/bmc/bmcCexMin2.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin1.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcCexMin1.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c > src/sat/bmc/bmcCexMin1.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcCexDepth.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcCexDepth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c > src/sat/bmc/bmcCexDepth.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcCexCut.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcCexCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c > src/sat/bmc/bmcCexCut.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcCexCare.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcCexCare.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c > src/sat/bmc/bmcCexCare.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcS.c
-> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcG.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcBmcS.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c > src/sat/bmc/bmcBmcS.d
./depends.sh "gcc" `dirname src/sat/bmc/bmcBmcG.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c > src/sat/bmc/bmcBmcG.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcBmci.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcBmci.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c > src/sat/bmc/bmcBmci.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcAnd.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcBmcAnd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c > src/sat/bmc/bmcBmcAnd.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc3.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcBmc3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c > src/sat/bmc/bmcBmc3.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc2.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcBmc2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c > src/sat/bmc/bmcBmc2.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcBmc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c > src/sat/bmc/bmcBmc.d
-> ABC: `` Generating dependency: /src/sat/bmc/bmcBCore.c
./depends.sh "gcc" `dirname src/sat/bmc/bmcBCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c > src/sat/bmc/bmcBCore.d
-> ABC: `` Generating dependency: /src/sat/cnf/cnfWrite.c
./depends.sh "gcc" `dirname src/sat/cnf/cnfWrite.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c > src/sat/cnf/cnfWrite.d
-> ABC: `` Generating dependency: /src/sat/cnf/cnfUtil.c
-> ABC: `` Generating dependency: /src/sat/cnf/cnfPost.c
./depends.sh "gcc" `dirname src/sat/cnf/cnfUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c > src/sat/cnf/cnfUtil.d
./depends.sh "gcc" `dirname src/sat/cnf/cnfPost.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c > src/sat/cnf/cnfPost.d
-> ABC: `` Generating dependency: /src/sat/cnf/cnfMap.c
./depends.sh "gcc" `dirname src/sat/cnf/cnfMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c > src/sat/cnf/cnfMap.d
-> ABC: `` Generating dependency: /src/sat/cnf/cnfMan.c
./depends.sh "gcc" `dirname src/sat/cnf/cnfMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c > src/sat/cnf/cnfMan.d
-> ABC: `` Generating dependency: /src/sat/cnf/cnfFast.c
./depends.sh "gcc" `dirname src/sat/cnf/cnfFast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c > src/sat/cnf/cnfFast.d
-> ABC: `` Generating dependency: /src/sat/cnf/cnfData.c
./depends.sh "gcc" `dirname src/sat/cnf/cnfData.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c > src/sat/cnf/cnfData.d
-> ABC: `` Generating dependency: /src/sat/cnf/cnfCut.c
./depends.sh "gcc" `dirname src/sat/cnf/cnfCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c > src/sat/cnf/cnfCut.d
-> ABC: `` Generating dependency: /src/sat/cnf/cnfCore.c
./depends.sh "gcc" `dirname src/sat/cnf/cnfCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c > src/sat/cnf/cnfCore.d
-> ABC: `` Generating dependency: /src/sat/msat/msatVec.c
./depends.sh "gcc" `dirname src/sat/msat/msatVec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c > src/sat/msat/msatVec.d
-> ABC: `` Generating dependency: /src/sat/msat/msatSolverSearch.c
-> ABC: `` Generating dependency: /src/sat/msat/msatSort.c
./depends.sh "gcc" `dirname src/sat/msat/msatSort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c > src/sat/msat/msatSort.d
./depends.sh "gcc" `dirname src/sat/msat/msatSolverSearch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c > src/sat/msat/msatSolverSearch.d
-> ABC: `` Generating dependency: /src/sat/msat/msatSolverIo.c
./depends.sh "gcc" `dirname src/sat/msat/msatSolverIo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c > src/sat/msat/msatSolverIo.d
-> ABC: `` Generating dependency: /src/sat/msat/msatSolverCore.c
./depends.sh "gcc" `dirname src/sat/msat/msatSolverCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c > src/sat/msat/msatSolverCore.d
-> ABC: `` Generating dependency: /src/sat/msat/msatSolverApi.c
./depends.sh "gcc" `dirname src/sat/msat/msatSolverApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c > src/sat/msat/msatSolverApi.d
-> ABC: `` Generating dependency: /src/sat/msat/msatRead.c
./depends.sh "gcc" `dirname src/sat/msat/msatRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c > src/sat/msat/msatRead.d
-> ABC: `` Generating dependency: /src/sat/msat/msatQueue.c
./depends.sh "gcc" `dirname src/sat/msat/msatQueue.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c > src/sat/msat/msatQueue.d
-> ABC: `` Generating dependency: /src/sat/msat/msatOrderH.c
./depends.sh "gcc" `dirname src/sat/msat/msatOrderH.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c > src/sat/msat/msatOrderH.d
-> ABC: `` Generating dependency: /src/sat/msat/msatMem.c
-> ABC: `` Generating dependency: /src/sat/msat/msatClauseVec.c
./depends.sh "gcc" `dirname src/sat/msat/msatMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatMem.c > src/sat/msat/msatMem.d
./depends.sh "gcc" `dirname src/sat/msat/msatClauseVec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClauseVec.c > src/sat/msat/msatClauseVec.d
-> ABC: `` Generating dependency: /src/sat/msat/msatClause.c
./depends.sh "gcc" `dirname src/sat/msat/msatClause.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c > src/sat/msat/msatClause.d
-> ABC: `` Generating dependency: /src/sat/msat/msatActivity.c
./depends.sh "gcc" `dirname src/sat/msat/msatActivity.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c > src/sat/msat/msatActivity.d
-> ABC: `` Generating dependency: /src/sat/csat/csat_apis.c
./depends.sh "gcc" `dirname src/sat/csat/csat_apis.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c > src/sat/csat/csat_apis.d
-> ABC: `` Generating dependency: /src/sat/satoko/cnf_reader.c
./depends.sh "gcc" `dirname src/sat/satoko/cnf_reader.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c > src/sat/satoko/cnf_reader.d
-> ABC: `` Generating dependency: /src/sat/satoko/solver_api.c
-> ABC: `` Generating dependency: /src/sat/satoko/solver.c
./depends.sh "gcc" `dirname src/sat/satoko/solver_api.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c > src/sat/satoko/solver_api.d
./depends.sh "gcc" `dirname src/sat/satoko/solver.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c > src/sat/satoko/solver.d
-> ABC: `` Generating dependency: /src/sat/xsat/xsatCnfReader.c
./depends.sh "gcc" `dirname src/sat/xsat/xsatCnfReader.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c > src/sat/xsat/xsatCnfReader.d
-> ABC: `` Generating dependency: /src/sat/xsat/xsatSolverAPI.c
./depends.sh "gcc" `dirname src/sat/xsat/xsatSolverAPI.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c > src/sat/xsat/xsatSolverAPI.d
-> ABC: `` Generating dependency: /src/sat/xsat/xsatSolver.c
./depends.sh "gcc" `dirname src/sat/xsat/xsatSolver.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c > src/sat/xsat/xsatSolver.d
-> ABC: `` Generating dependency: /src/sat/bsat/satUtil.c
./depends.sh "gcc" `dirname src/sat/bsat/satUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c > src/sat/bsat/satUtil.d
-> ABC: `` Generating dependency: /src/sat/bsat/satTruth.c
./depends.sh "gcc" `dirname src/sat/bsat/satTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c > src/sat/bsat/satTruth.d
-> ABC: `` Generating dependency: /src/sat/bsat/satTrace.c
./depends.sh "gcc" `dirname src/sat/bsat/satTrace.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c > src/sat/bsat/satTrace.d
-> ABC: `` Generating dependency: /src/sat/bsat/satStore.c
./depends.sh "gcc" `dirname src/sat/bsat/satStore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c > src/sat/bsat/satStore.d
-> ABC: `` Generating dependency: /src/sat/bsat/satSolver3.c
./depends.sh "gcc" `dirname src/sat/bsat/satSolver3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c > src/sat/bsat/satSolver3.d
-> ABC: `` Generating dependency: /src/sat/bsat/satSolver2i.c
./depends.sh "gcc" `dirname src/sat/bsat/satSolver2i.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c > src/sat/bsat/satSolver2i.d
-> ABC: `` Generating dependency: /src/sat/bsat/satSolver2.c
./depends.sh "gcc" `dirname src/sat/bsat/satSolver2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c > src/sat/bsat/satSolver2.d
-> ABC: `` Generating dependency: /src/sat/bsat/satSolver.c
-> ABC: `` Generating dependency: /src/sat/bsat/satProof.c
./depends.sh "gcc" `dirname src/sat/bsat/satSolver.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c > src/sat/bsat/satSolver.d
./depends.sh "gcc" `dirname src/sat/bsat/satProof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c > src/sat/bsat/satProof.d
-> ABC: `` Generating dependency: /src/sat/bsat/satInterP.c
./depends.sh "gcc" `dirname src/sat/bsat/satInterP.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c > src/sat/bsat/satInterP.d
-> ABC: `` Generating dependency: /src/sat/bsat/satInterB.c
./depends.sh "gcc" `dirname src/sat/bsat/satInterB.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c > src/sat/bsat/satInterB.d
-> ABC: `` Generating dependency: /src/sat/bsat/satInterA.c
./depends.sh "gcc" `dirname src/sat/bsat/satInterA.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c > src/sat/bsat/satInterA.d
-> ABC: `` Generating dependency: /src/sat/bsat/satInter.c
./depends.sh "gcc" `dirname src/sat/bsat/satInter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c > src/sat/bsat/satInter.d
-> ABC: `` Generating dependency: /src/sat/bsat/satMem.c
./depends.sh "gcc" `dirname src/sat/bsat/satMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c > src/sat/bsat/satMem.d
-> ABC: `` Generating dependency: /src/opt/sbd/sbdWin.c
./depends.sh "gcc" `dirname src/opt/sbd/sbdWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c > src/opt/sbd/sbdWin.d
-> ABC: `` Generating dependency: /src/opt/sbd/sbdSat.c
./depends.sh "gcc" `dirname src/opt/sbd/sbdSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c > src/opt/sbd/sbdSat.d
-> ABC: `` Generating dependency: /src/opt/sbd/sbdPath.c
./depends.sh "gcc" `dirname src/opt/sbd/sbdPath.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdPath.c > src/opt/sbd/sbdPath.d
-> ABC: `` Generating dependency: /src/opt/sbd/sbdLut.c
./depends.sh "gcc" `dirname src/opt/sbd/sbdLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c > src/opt/sbd/sbdLut.d
-> ABC: `` Generating dependency: /src/opt/sbd/sbdCut2.c
./depends.sh "gcc" `dirname src/opt/sbd/sbdCut2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c > src/opt/sbd/sbdCut2.d
-> ABC: `` Generating dependency: /src/opt/sbd/sbdCut.c
./depends.sh "gcc" `dirname src/opt/sbd/sbdCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c > src/opt/sbd/sbdCut.d
-> ABC: `` Generating dependency: /src/opt/sbd/sbdCore.c
./depends.sh "gcc" `dirname src/opt/sbd/sbdCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c > src/opt/sbd/sbdCore.d
-> ABC: `` Generating dependency: /src/opt/sbd/sbdCnf.c
./depends.sh "gcc" `dirname src/opt/sbd/sbdCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c > src/opt/sbd/sbdCnf.d
-> ABC: `` Generating dependency: /src/opt/sbd/sbd.c
./depends.sh "gcc" `dirname src/opt/sbd/sbd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c > src/opt/sbd/sbd.d
-> ABC: `` Generating dependency: /src/opt/sfm/sfmWin.c
./depends.sh "gcc" `dirname src/opt/sfm/sfmWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c > src/opt/sfm/sfmWin.d
-> ABC: `` Generating dependency: /src/opt/sfm/sfmMit.c
./depends.sh "gcc" `dirname src/opt/sfm/sfmMit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c > src/opt/sfm/sfmMit.d
-> ABC: `` Generating dependency: /src/opt/sfm/sfmTim.c
./depends.sh "gcc" `dirname src/opt/sfm/sfmTim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c > src/opt/sfm/sfmTim.d
-> ABC: `` Generating dependency: /src/opt/sfm/sfmSat.c
./depends.sh "gcc" `dirname src/opt/sfm/sfmSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c > src/opt/sfm/sfmSat.d
-> ABC: `` Generating dependency: /src/opt/sfm/sfmNtk.c
./depends.sh "gcc" `dirname src/opt/sfm/sfmNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c > src/opt/sfm/sfmNtk.d
-> ABC: `` Generating dependency: /src/opt/sfm/sfmLib.c
./depends.sh "gcc" `dirname src/opt/sfm/sfmLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c > src/opt/sfm/sfmLib.d
-> ABC: `` Generating dependency: /src/opt/sfm/sfmDec.c
./depends.sh "gcc" `dirname src/opt/sfm/sfmDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c > src/opt/sfm/sfmDec.d
-> ABC: `` Generating dependency: /src/opt/sfm/sfmCore.c
./depends.sh "gcc" `dirname src/opt/sfm/sfmCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c > src/opt/sfm/sfmCore.d
-> ABC: `` Generating dependency: /src/opt/sfm/sfmCnf.c
./depends.sh "gcc" `dirname src/opt/sfm/sfmCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c > src/opt/sfm/sfmCnf.d
-> ABC: `` Generating dependency: /src/opt/sfm/sfmArea.c
./depends.sh "gcc" `dirname src/opt/sfm/sfmArea.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c > src/opt/sfm/sfmArea.d
-> ABC: `` Generating dependency: /src/opt/dsc/dsc.c
./depends.sh "gcc" `dirname src/opt/dsc/dsc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c > src/opt/dsc/dsc.d
-> ABC: `` Generating dependency: /src/opt/dau/dauTree.c
./depends.sh "gcc" `dirname src/opt/dau/dauTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c > src/opt/dau/dauTree.d
-> ABC: `` Generating dependency: /src/opt/dau/dauNpn2.c
./depends.sh "gcc" `dirname src/opt/dau/dauNpn2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c > src/opt/dau/dauNpn2.d
-> ABC: `` Generating dependency: /src/opt/dau/dauNpn.c
./depends.sh "gcc" `dirname src/opt/dau/dauNpn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c > src/opt/dau/dauNpn.d
-> ABC: `` Generating dependency: /src/opt/dau/dauNonDsd.c
./depends.sh "gcc" `dirname src/opt/dau/dauNonDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c > src/opt/dau/dauNonDsd.d
-> ABC: `` Generating dependency: /src/opt/dau/dauMerge.c
./depends.sh "gcc" `dirname src/opt/dau/dauMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauMerge.c > src/opt/dau/dauMerge.d
-> ABC: `` Generating dependency: /src/opt/dau/dauGia.c
./depends.sh "gcc" `dirname src/opt/dau/dauGia.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c > src/opt/dau/dauGia.d
-> ABC: `` Generating dependency: /src/opt/dau/dauEnum.c
./depends.sh "gcc" `dirname src/opt/dau/dauEnum.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c > src/opt/dau/dauEnum.d
-> ABC: `` Generating dependency: /src/opt/dau/dauDsd.c
./depends.sh "gcc" `dirname src/opt/dau/dauDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c > src/opt/dau/dauDsd.d
-> ABC: `` Generating dependency: /src/opt/dau/dauDivs.c
./depends.sh "gcc" `dirname src/opt/dau/dauDivs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c > src/opt/dau/dauDivs.d
-> ABC: `` Generating dependency: /src/opt/dau/dauCount.c
./depends.sh "gcc" `dirname src/opt/dau/dauCount.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c > src/opt/dau/dauCount.d
-> ABC: `` Generating dependency: /src/opt/dau/dauCore.c
./depends.sh "gcc" `dirname src/opt/dau/dauCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c > src/opt/dau/dauCore.d
-> ABC: `` Generating dependency: /src/opt/dau/dauCanon.c
./depends.sh "gcc" `dirname src/opt/dau/dauCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c > src/opt/dau/dauCanon.d
-> ABC: `` Generating dependency: /src/opt/dar/darScript.c
./depends.sh "gcc" `dirname src/opt/dar/darScript.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c > src/opt/dar/darScript.d
-> ABC: `` Generating dependency: /src/opt/dar/darRefact.c
./depends.sh "gcc" `dirname src/opt/dar/darRefact.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c > src/opt/dar/darRefact.d
-> ABC: `` Generating dependency: /src/opt/dar/darPrec.c
./depends.sh "gcc" `dirname src/opt/dar/darPrec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c > src/opt/dar/darPrec.d
-> ABC: `` Generating dependency: /src/opt/dar/darMan.c
./depends.sh "gcc" `dirname src/opt/dar/darMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c > src/opt/dar/darMan.d
-> ABC: `` Generating dependency: /src/opt/dar/darLib.c
./depends.sh "gcc" `dirname src/opt/dar/darLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c > src/opt/dar/darLib.d
-> ABC: `` Generating dependency: /src/opt/dar/darData.c
./depends.sh "gcc" `dirname src/opt/dar/darData.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c > src/opt/dar/darData.d
-> ABC: `` Generating dependency: /src/opt/dar/darCut.c
./depends.sh "gcc" `dirname src/opt/dar/darCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c > src/opt/dar/darCut.d
-> ABC: `` Generating dependency: /src/opt/dar/darCore.c
./depends.sh "gcc" `dirname src/opt/dar/darCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c > src/opt/dar/darCore.d
-> ABC: `` Generating dependency: /src/opt/dar/darBalance.c
./depends.sh "gcc" `dirname src/opt/dar/darBalance.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c > src/opt/dar/darBalance.d
-> ABC: `` Generating dependency: /src/opt/csw/cswTable.c
./depends.sh "gcc" `dirname src/opt/csw/cswTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c > src/opt/csw/cswTable.d
-> ABC: `` Generating dependency: /src/opt/csw/cswMan.c
./depends.sh "gcc" `dirname src/opt/csw/cswMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c > src/opt/csw/cswMan.d
-> ABC: `` Generating dependency: /src/opt/csw/cswCut.c
./depends.sh "gcc" `dirname src/opt/csw/cswCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c > src/opt/csw/cswCut.d
-> ABC: `` Generating dependency: /src/opt/csw/cswCore.c
./depends.sh "gcc" `dirname src/opt/csw/cswCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c > src/opt/csw/cswCore.d
-> ABC: `` Generating dependency: /src/opt/cgt/cgtSat.c
./depends.sh "gcc" `dirname src/opt/cgt/cgtSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c > src/opt/cgt/cgtSat.d
-> ABC: `` Generating dependency: /src/opt/cgt/cgtMan.c
./depends.sh "gcc" `dirname src/opt/cgt/cgtMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c > src/opt/cgt/cgtMan.d
-> ABC: `` Generating dependency: /src/opt/cgt/cgtDecide.c
./depends.sh "gcc" `dirname src/opt/cgt/cgtDecide.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c > src/opt/cgt/cgtDecide.d
-> ABC: `` Generating dependency: /src/opt/cgt/cgtCore.c
./depends.sh "gcc" `dirname src/opt/cgt/cgtCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c > src/opt/cgt/cgtCore.d
-> ABC: `` Generating dependency: /src/opt/cgt/cgtAig.c
./depends.sh "gcc" `dirname src/opt/cgt/cgtAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c > src/opt/cgt/cgtAig.d
-> ABC: `` Generating dependency: /src/opt/rwt/rwtUtil.c
./depends.sh "gcc" `dirname src/opt/rwt/rwtUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c > src/opt/rwt/rwtUtil.d
-> ABC: `` Generating dependency: /src/opt/rwt/rwtMan.c
./depends.sh "gcc" `dirname src/opt/rwt/rwtMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c > src/opt/rwt/rwtMan.d
-> ABC: `` Generating dependency: /src/opt/rwt/rwtDec.c
./depends.sh "gcc" `dirname src/opt/rwt/rwtDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c > src/opt/rwt/rwtDec.d
-> ABC: `` Generating dependency: /src/opt/nwk/nwkUtil.c
./depends.sh "gcc" `dirname src/opt/nwk/nwkUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c > src/opt/nwk/nwkUtil.d
-> ABC: `` Generating dependency: /src/opt/nwk/nwkTiming.c
./depends.sh "gcc" `dirname src/opt/nwk/nwkTiming.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkTiming.c > src/opt/nwk/nwkTiming.d
-> ABC: `` Generating dependency: /src/opt/nwk/nwkStrash.c
./depends.sh "gcc" `dirname src/opt/nwk/nwkStrash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkStrash.c > src/opt/nwk/nwkStrash.d
-> ABC: `` Generating dependency: /src/opt/nwk/nwkSpeedup.c
./depends.sh "gcc" `dirname src/opt/nwk/nwkSpeedup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkSpeedup.c > src/opt/nwk/nwkSpeedup.d
-> ABC: `` Generating dependency: /src/opt/nwk/nwkObj.c
./depends.sh "gcc" `dirname src/opt/nwk/nwkObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c > src/opt/nwk/nwkObj.d
-> ABC: `` Generating dependency: /src/opt/nwk/nwkMerge.c
-> ABC: `` Generating dependency: /src/opt/nwk/nwkMap.c
./depends.sh "gcc" `dirname src/opt/nwk/nwkMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c > src/opt/nwk/nwkMerge.d
./depends.sh "gcc" `dirname src/opt/nwk/nwkMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c > src/opt/nwk/nwkMap.d
-> ABC: `` Generating dependency: /src/opt/nwk/nwkMan.c
./depends.sh "gcc" `dirname src/opt/nwk/nwkMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c > src/opt/nwk/nwkMan.d
-> ABC: `` Generating dependency: /src/opt/nwk/nwkFlow.c
-> ABC: `` Generating dependency: /src/opt/nwk/nwkFanio.c
./depends.sh "gcc" `dirname src/opt/nwk/nwkFlow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFlow.c > src/opt/nwk/nwkFlow.d
./depends.sh "gcc" `dirname src/opt/nwk/nwkFanio.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFanio.c > src/opt/nwk/nwkFanio.d
-> ABC: `` Generating dependency: /src/opt/nwk/nwkDfs.c
./depends.sh "gcc" `dirname src/opt/nwk/nwkDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkDfs.c > src/opt/nwk/nwkDfs.d
-> ABC: `` Generating dependency: /src/opt/nwk/nwkBidec.c
./depends.sh "gcc" `dirname src/opt/nwk/nwkBidec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c > src/opt/nwk/nwkBidec.d
-> ABC: `` Generating dependency: /src/opt/nwk/nwkCheck.c
./depends.sh "gcc" `dirname src/opt/nwk/nwkCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkCheck.c > src/opt/nwk/nwkCheck.d
-> ABC: `` Generating dependency: /src/opt/nwk/nwkAig.c
-> ABC: `` Generating dependency: /src/opt/lpk/lpkSets.c
./depends.sh "gcc" `dirname src/opt/nwk/nwkAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c > src/opt/nwk/nwkAig.d
./depends.sh "gcc" `dirname src/opt/lpk/lpkSets.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c > src/opt/lpk/lpkSets.d
-> ABC: `` Generating dependency: /src/opt/lpk/lpkMux.c
./depends.sh "gcc" `dirname src/opt/lpk/lpkMux.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c > src/opt/lpk/lpkMux.d
-> ABC: `` Generating dependency: /src/opt/lpk/lpkMulti.c
./depends.sh "gcc" `dirname src/opt/lpk/lpkMulti.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c > src/opt/lpk/lpkMulti.d
-> ABC: `` Generating dependency: /src/opt/lpk/lpkMap.c
./depends.sh "gcc" `dirname src/opt/lpk/lpkMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c > src/opt/lpk/lpkMap.d
-> ABC: `` Generating dependency: /src/opt/lpk/lpkMan.c
./depends.sh "gcc" `dirname src/opt/lpk/lpkMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c > src/opt/lpk/lpkMan.d
-> ABC: `` Generating dependency: /src/opt/lpk/lpkCut.c
./depends.sh "gcc" `dirname src/opt/lpk/lpkCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCut.c > src/opt/lpk/lpkCut.d
-> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcUtil.c
./depends.sh "gcc" `dirname src/opt/lpk/lpkAbcUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c > src/opt/lpk/lpkAbcUtil.d
-> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDsd.c
./depends.sh "gcc" `dirname src/opt/lpk/lpkAbcDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c > src/opt/lpk/lpkAbcDsd.d
-> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcMux.c
./depends.sh "gcc" `dirname src/opt/lpk/lpkAbcMux.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c > src/opt/lpk/lpkAbcMux.d
-> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDec.c
./depends.sh "gcc" `dirname src/opt/lpk/lpkAbcDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c > src/opt/lpk/lpkAbcDec.d
-> ABC: `` Generating dependency: /src/opt/lpk/lpkCore.c
./depends.sh "gcc" `dirname src/opt/lpk/lpkCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c > src/opt/lpk/lpkCore.d
-> ABC: `` Generating dependency: /src/opt/res/resWin.c
./depends.sh "gcc" `dirname src/opt/res/resWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c > src/opt/res/resWin.d
-> ABC: `` Generating dependency: /src/opt/res/resStrash.c
./depends.sh "gcc" `dirname src/opt/res/resStrash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c > src/opt/res/resStrash.d
-> ABC: `` Generating dependency: /src/opt/res/resSim.c
./depends.sh "gcc" `dirname src/opt/res/resSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c > src/opt/res/resSim.d
-> ABC: `` Generating dependency: /src/opt/res/resSat.c
./depends.sh "gcc" `dirname src/opt/res/resSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c > src/opt/res/resSat.d
-> ABC: `` Generating dependency: /src/opt/res/resFilter.c
./depends.sh "gcc" `dirname src/opt/res/resFilter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c > src/opt/res/resFilter.d
-> ABC: `` Generating dependency: /src/opt/res/resDivs.c
./depends.sh "gcc" `dirname src/opt/res/resDivs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c > src/opt/res/resDivs.d
-> ABC: `` Generating dependency: /src/opt/res/resCore.c
./depends.sh "gcc" `dirname src/opt/res/resCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c > src/opt/res/resCore.d
-> ABC: `` Generating dependency: /src/opt/fret/fretTime.c
-> ABC: `` Generating dependency: /src/opt/fret/fretInit.c
./depends.sh "gcc" `dirname src/opt/fret/fretTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c > src/opt/fret/fretTime.d
./depends.sh "gcc" `dirname src/opt/fret/fretInit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c > src/opt/fret/fretInit.d
-> ABC: `` Generating dependency: /src/opt/fret/fretFlow.c
./depends.sh "gcc" `dirname src/opt/fret/fretFlow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c > src/opt/fret/fretFlow.d
-> ABC: `` Generating dependency: /src/opt/fret/fretMain.c
./depends.sh "gcc" `dirname src/opt/fret/fretMain.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c > src/opt/fret/fretMain.d
-> ABC: `` Generating dependency: /src/opt/ret/retLvalue.c
-> ABC: `` Generating dependency: /src/opt/ret/retInit.c
./depends.sh "gcc" `dirname src/opt/ret/retLvalue.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c > src/opt/ret/retLvalue.d
-> ABC: `` Generating dependency: /src/opt/ret/retIncrem.c
./depends.sh "gcc" `dirname src/opt/ret/retInit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c > src/opt/ret/retInit.d
./depends.sh "gcc" `dirname src/opt/ret/retIncrem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c > src/opt/ret/retIncrem.d
-> ABC: `` Generating dependency: /src/opt/ret/retFlow.c
./depends.sh "gcc" `dirname src/opt/ret/retFlow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c > src/opt/ret/retFlow.d
-> ABC: `` Generating dependency: /src/opt/ret/retDelay.c
./depends.sh "gcc" `dirname src/opt/ret/retDelay.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c > src/opt/ret/retDelay.d
-> ABC: `` Generating dependency: /src/opt/ret/retCore.c
./depends.sh "gcc" `dirname src/opt/ret/retCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c > src/opt/ret/retCore.d
-> ABC: `` Generating dependency: /src/opt/ret/retArea.c
./depends.sh "gcc" `dirname src/opt/ret/retArea.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c > src/opt/ret/retArea.d
-> ABC: `` Generating dependency: /src/opt/sim/simUtils.c
./depends.sh "gcc" `dirname src/opt/sim/simUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simUtils.c > src/opt/sim/simUtils.d
-> ABC: `` Generating dependency: /src/opt/sim/simSymStr.c
./depends.sh "gcc" `dirname src/opt/sim/simSymStr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c > src/opt/sim/simSymStr.d
-> ABC: `` Generating dependency: /src/opt/sim/simSymSim.c
-> ABC: `` Generating dependency: /src/opt/sim/simSymSat.c
./depends.sh "gcc" `dirname src/opt/sim/simSymSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c > src/opt/sim/simSymSim.d
./depends.sh "gcc" `dirname src/opt/sim/simSymSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c > src/opt/sim/simSymSat.d
-> ABC: `` Generating dependency: /src/opt/sim/simSym.c
./depends.sh "gcc" `dirname src/opt/sim/simSym.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c > src/opt/sim/simSym.d
-> ABC: `` Generating dependency: /src/opt/sim/simSwitch.c
./depends.sh "gcc" `dirname src/opt/sim/simSwitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c > src/opt/sim/simSwitch.d
-> ABC: `` Generating dependency: /src/opt/sim/simSupp.c
./depends.sh "gcc" `dirname src/opt/sim/simSupp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c > src/opt/sim/simSupp.d
-> ABC: `` Generating dependency: /src/opt/sim/simSeq.c
./depends.sh "gcc" `dirname src/opt/sim/simSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c > src/opt/sim/simSeq.d
-> ABC: `` Generating dependency: /src/opt/sim/simMan.c
./depends.sh "gcc" `dirname src/opt/sim/simMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c > src/opt/sim/simMan.d
-> ABC: `` Generating dependency: /src/opt/mfs/mfsWin.c
./depends.sh "gcc" `dirname src/opt/mfs/mfsWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c > src/opt/mfs/mfsWin.d
-> ABC: `` Generating dependency: /src/opt/mfs/mfsStrash.c
./depends.sh "gcc" `dirname src/opt/mfs/mfsStrash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c > src/opt/mfs/mfsStrash.d
-> ABC: `` Generating dependency: /src/opt/mfs/mfsSat.c
./depends.sh "gcc" `dirname src/opt/mfs/mfsSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c > src/opt/mfs/mfsSat.d
-> ABC: `` Generating dependency: /src/opt/mfs/mfsResub.c
./depends.sh "gcc" `dirname src/opt/mfs/mfsResub.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c > src/opt/mfs/mfsResub.d
-> ABC: `` Generating dependency: /src/opt/mfs/mfsMan.c
./depends.sh "gcc" `dirname src/opt/mfs/mfsMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c > src/opt/mfs/mfsMan.d
-> ABC: `` Generating dependency: /src/opt/mfs/mfsInter.c
./depends.sh "gcc" `dirname src/opt/mfs/mfsInter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c > src/opt/mfs/mfsInter.d
-> ABC: `` Generating dependency: /src/opt/mfs/mfsDiv.c
./depends.sh "gcc" `dirname src/opt/mfs/mfsDiv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c > src/opt/mfs/mfsDiv.d
-> ABC: `` Generating dependency: /src/opt/mfs/mfsCore.c
./depends.sh "gcc" `dirname src/opt/mfs/mfsCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c > src/opt/mfs/mfsCore.d
-> ABC: `` Generating dependency: /src/opt/rwr/rwrUtil.c
./depends.sh "gcc" `dirname src/opt/rwr/rwrUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c > src/opt/rwr/rwrUtil.d
-> ABC: `` Generating dependency: /src/opt/rwr/rwrPrint.c
./depends.sh "gcc" `dirname src/opt/rwr/rwrPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c > src/opt/rwr/rwrPrint.d
-> ABC: `` Generating dependency: /src/opt/rwr/rwrMan.c
./depends.sh "gcc" `dirname src/opt/rwr/rwrMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c > src/opt/rwr/rwrMan.d
-> ABC: `` Generating dependency: /src/opt/rwr/rwrLib.c
-> ABC: `` Generating dependency: /src/opt/rwr/rwrExp.c
./depends.sh "gcc" `dirname src/opt/rwr/rwrLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c > src/opt/rwr/rwrLib.d
./depends.sh "gcc" `dirname src/opt/rwr/rwrExp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c > src/opt/rwr/rwrExp.d
-> ABC: `` Generating dependency: /src/opt/rwr/rwrEva.c
./depends.sh "gcc" `dirname src/opt/rwr/rwrEva.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c > src/opt/rwr/rwrEva.d
-> ABC: `` Generating dependency: /src/opt/rwr/rwrDec.c
./depends.sh "gcc" `dirname src/opt/rwr/rwrDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c > src/opt/rwr/rwrDec.d
-> ABC: `` Generating dependency: /src/opt/fxch/FxchSCHashTable.c
./depends.sh "gcc" `dirname src/opt/fxch/FxchSCHashTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c > src/opt/fxch/FxchSCHashTable.d
-> ABC: `` Generating dependency: /src/opt/fxch/FxchMan.c
./depends.sh "gcc" `dirname src/opt/fxch/FxchMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c > src/opt/fxch/FxchMan.d
-> ABC: `` Generating dependency: /src/opt/fxch/FxchDiv.c
./depends.sh "gcc" `dirname src/opt/fxch/FxchDiv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c > src/opt/fxch/FxchDiv.d
-> ABC: `` Generating dependency: /src/opt/fxch/Fxch.c
./depends.sh "gcc" `dirname src/opt/fxch/Fxch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c > src/opt/fxch/Fxch.d
-> ABC: `` Generating dependency: /src/opt/fxu/fxuUpdate.c
./depends.sh "gcc" `dirname src/opt/fxu/fxuUpdate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c > src/opt/fxu/fxuUpdate.d
-> ABC: `` Generating dependency: /src/opt/fxu/fxuSingle.c
./depends.sh "gcc" `dirname src/opt/fxu/fxuSingle.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c > src/opt/fxu/fxuSingle.d
-> ABC: `` Generating dependency: /src/opt/fxu/fxuSelect.c
-> ABC: `` Generating dependency: /src/opt/fxu/fxuReduce.c
./depends.sh "gcc" `dirname src/opt/fxu/fxuSelect.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c > src/opt/fxu/fxuSelect.d
./depends.sh "gcc" `dirname src/opt/fxu/fxuReduce.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c > src/opt/fxu/fxuReduce.d
-> ABC: `` Generating dependency: /src/opt/fxu/fxuPrint.c
./depends.sh "gcc" `dirname src/opt/fxu/fxuPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c > src/opt/fxu/fxuPrint.d
-> ABC: `` Generating dependency: /src/opt/fxu/fxuPair.c
./depends.sh "gcc" `dirname src/opt/fxu/fxuPair.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPair.c > src/opt/fxu/fxuPair.d
-> ABC: `` Generating dependency: /src/opt/fxu/fxuMatrix.c
./depends.sh "gcc" `dirname src/opt/fxu/fxuMatrix.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c > src/opt/fxu/fxuMatrix.d
-> ABC: `` Generating dependency: /src/opt/fxu/fxuList.c
./depends.sh "gcc" `dirname src/opt/fxu/fxuList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c > src/opt/fxu/fxuList.d
-> ABC: `` Generating dependency: /src/opt/fxu/fxuHeapS.c
./depends.sh "gcc" `dirname src/opt/fxu/fxuHeapS.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c > src/opt/fxu/fxuHeapS.d
-> ABC: `` Generating dependency: /src/opt/fxu/fxuHeapD.c
./depends.sh "gcc" `dirname src/opt/fxu/fxuHeapD.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c > src/opt/fxu/fxuHeapD.d
-> ABC: `` Generating dependency: /src/opt/fxu/fxuCreate.c
./depends.sh "gcc" `dirname src/opt/fxu/fxuCreate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuCreate.c > src/opt/fxu/fxuCreate.d
-> ABC: `` Generating dependency: /src/opt/fxu/fxu.c
-> ABC: `` Generating dependency: /src/opt/cut/cutTruth.c
./depends.sh "gcc" `dirname src/opt/fxu/fxu.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxu.c > src/opt/fxu/fxu.d
./depends.sh "gcc" `dirname src/opt/cut/cutTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutTruth.c > src/opt/cut/cutTruth.d
-> ABC: `` Generating dependency: /src/opt/cut/cutSeq.c
./depends.sh "gcc" `dirname src/opt/cut/cutSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c > src/opt/cut/cutSeq.d
-> ABC: `` Generating dependency: /src/opt/cut/cutPre22.c
./depends.sh "gcc" `dirname src/opt/cut/cutPre22.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutPre22.c > src/opt/cut/cutPre22.d
-> ABC: `` Generating dependency: /src/opt/cut/cutOracle.c
./depends.sh "gcc" `dirname src/opt/cut/cutOracle.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutOracle.c > src/opt/cut/cutOracle.d
-> ABC: `` Generating dependency: /src/opt/cut/cutNode.c
./depends.sh "gcc" `dirname src/opt/cut/cutNode.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c > src/opt/cut/cutNode.d
-> ABC: `` Generating dependency: /src/opt/cut/cutMerge.c
./depends.sh "gcc" `dirname src/opt/cut/cutMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c > src/opt/cut/cutMerge.d
-> ABC: `` Generating dependency: /src/opt/cut/cutMan.c
./depends.sh "gcc" `dirname src/opt/cut/cutMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c > src/opt/cut/cutMan.d
-> ABC: `` Generating dependency: /src/opt/cut/cutCut.c
./depends.sh "gcc" `dirname src/opt/cut/cutCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c > src/opt/cut/cutCut.d
-> ABC: `` Generating dependency: /src/opt/cut/cutApi.c
./depends.sh "gcc" `dirname src/opt/cut/cutApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c > src/opt/cut/cutApi.d
-> ABC: `` Generating dependency: /src/misc/parse/parseStack.c
./depends.sh "gcc" `dirname src/misc/parse/parseStack.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c > src/misc/parse/parseStack.d
-> ABC: `` Generating dependency: /src/misc/parse/parseEqn.c
./depends.sh "gcc" `dirname src/misc/parse/parseEqn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c > src/misc/parse/parseEqn.d
-> ABC: `` Generating dependency: /src/misc/bbl/bblif.c
-> ABC: `` Generating dependency: /src/misc/bar/bar.c
./depends.sh "gcc" `dirname src/misc/bbl/bblif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c > src/misc/bbl/bblif.d
./depends.sh "gcc" `dirname src/misc/bar/bar.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c > src/misc/bar/bar.d
-> ABC: `` Generating dependency: /src/misc/mem/mem.c
-> ABC: `` Generating dependency: /src/misc/tim/timTrav.c
./depends.sh "gcc" `dirname src/misc/mem/mem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c > src/misc/mem/mem.d
./depends.sh "gcc" `dirname src/misc/tim/timTrav.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c > src/misc/tim/timTrav.d
-> ABC: `` Generating dependency: /src/misc/tim/timTime.c
./depends.sh "gcc" `dirname src/misc/tim/timTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c > src/misc/tim/timTime.d
-> ABC: `` Generating dependency: /src/misc/tim/timMan.c
./depends.sh "gcc" `dirname src/misc/tim/timMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c > src/misc/tim/timMan.d
-> ABC: `` Generating dependency: /src/misc/tim/timDump.c
./depends.sh "gcc" `dirname src/misc/tim/timDump.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c > src/misc/tim/timDump.d
-> ABC: `` Generating dependency: /src/misc/tim/timBox.c
./depends.sh "gcc" `dirname src/misc/tim/timBox.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timBox.c > src/misc/tim/timBox.d
-> ABC: `` Generating dependency: /src/misc/nm/nmTable.c
./depends.sh "gcc" `dirname src/misc/nm/nmTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c > src/misc/nm/nmTable.d
-> ABC: `` Generating dependency: /src/misc/nm/nmApi.c
./depends.sh "gcc" `dirname src/misc/nm/nmApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c > src/misc/nm/nmApi.d
-> ABC: `` Generating dependency: /src/misc/util/utilSort.c
./depends.sh "gcc" `dirname src/misc/util/utilSort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c > src/misc/util/utilSort.d
-> ABC: `` Generating dependency: /src/misc/util/utilSignal.c
./depends.sh "gcc" `dirname src/misc/util/utilSignal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c > src/misc/util/utilSignal.d
-> ABC: `` Generating dependency: /src/misc/util/utilNam.c
./depends.sh "gcc" `dirname src/misc/util/utilNam.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c > src/misc/util/utilNam.d
-> ABC: `` Generating dependency: /src/misc/util/utilIsop.c
./depends.sh "gcc" `dirname src/misc/util/utilIsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c > src/misc/util/utilIsop.d
-> ABC: `` Generating dependency: /src/misc/util/utilFile.c
./depends.sh "gcc" `dirname src/misc/util/utilFile.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c > src/misc/util/utilFile.d
-> ABC: `` Generating dependency: /src/misc/util/utilColor.c
./depends.sh "gcc" `dirname src/misc/util/utilColor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c > src/misc/util/utilColor.d
-> ABC: `` Generating dependency: /src/misc/util/utilCex.c
./depends.sh "gcc" `dirname src/misc/util/utilCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c > src/misc/util/utilCex.d
-> ABC: `` Generating dependency: /src/misc/util/utilBridge.c
./depends.sh "gcc" `dirname src/misc/util/utilBridge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c > src/misc/util/utilBridge.d
-> ABC: `` Generating dependency: /src/misc/st/stmm.c
./depends.sh "gcc" `dirname src/misc/st/stmm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c > src/misc/st/stmm.d
-> ABC: `` Generating dependency: /src/misc/st/st.c
./depends.sh "gcc" `dirname src/misc/st/st.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c > src/misc/st/st.d
-> ABC: `` Generating dependency: /src/misc/mvc/mvcUtils.c
./depends.sh "gcc" `dirname src/misc/mvc/mvcUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c > src/misc/mvc/mvcUtils.d
-> ABC: `` Generating dependency: /src/misc/mvc/mvcSort.c
./depends.sh "gcc" `dirname src/misc/mvc/mvcSort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c > src/misc/mvc/mvcSort.d
-> ABC: `` Generating dependency: /src/misc/mvc/mvcPrint.c
./depends.sh "gcc" `dirname src/misc/mvc/mvcPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c > src/misc/mvc/mvcPrint.d
-> ABC: `` Generating dependency: /src/misc/mvc/mvcOpBool.c
./depends.sh "gcc" `dirname src/misc/mvc/mvcOpBool.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c > src/misc/mvc/mvcOpBool.d
-> ABC: `` Generating dependency: /src/misc/mvc/mvcOpAlg.c
./depends.sh "gcc" `dirname src/misc/mvc/mvcOpAlg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c > src/misc/mvc/mvcOpAlg.d
-> ABC: `` Generating dependency: /src/misc/mvc/mvcMan.c
-> ABC: `` Generating dependency: /src/misc/mvc/mvcLits.c
./depends.sh "gcc" `dirname src/misc/mvc/mvcMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c > src/misc/mvc/mvcMan.d
./depends.sh "gcc" `dirname src/misc/mvc/mvcLits.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c > src/misc/mvc/mvcLits.d
-> ABC: `` Generating dependency: /src/misc/mvc/mvcList.c
./depends.sh "gcc" `dirname src/misc/mvc/mvcList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c > src/misc/mvc/mvcList.d
-> ABC: `` Generating dependency: /src/misc/mvc/mvcDivisor.c
./depends.sh "gcc" `dirname src/misc/mvc/mvcDivisor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c > src/misc/mvc/mvcDivisor.d
-> ABC: `` Generating dependency: /src/misc/mvc/mvcDivide.c
./depends.sh "gcc" `dirname src/misc/mvc/mvcDivide.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c > src/misc/mvc/mvcDivide.d
-> ABC: `` Generating dependency: /src/misc/mvc/mvcCube.c
./depends.sh "gcc" `dirname src/misc/mvc/mvcCube.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c > src/misc/mvc/mvcCube.d
-> ABC: `` Generating dependency: /src/misc/mvc/mvcCover.c
./depends.sh "gcc" `dirname src/misc/mvc/mvcCover.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c > src/misc/mvc/mvcCover.d
-> ABC: `` Generating dependency: /src/misc/mvc/mvcContain.c
-> ABC: `` Generating dependency: /src/misc/mvc/mvcCompare.c
./depends.sh "gcc" `dirname src/misc/mvc/mvcContain.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c > src/misc/mvc/mvcContain.d
./depends.sh "gcc" `dirname src/misc/mvc/mvcCompare.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c > src/misc/mvc/mvcCompare.d
-> ABC: `` Generating dependency: /src/misc/mvc/mvcApi.c
./depends.sh "gcc" `dirname src/misc/mvc/mvcApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c > src/misc/mvc/mvcApi.d
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilUtil.c
./depends.sh "gcc" `dirname src/misc/extra/extraUtilUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c > src/misc/extra/extraUtilUtil.d
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilTruth.c
./depends.sh "gcc" `dirname src/misc/extra/extraUtilTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c > src/misc/extra/extraUtilTruth.d
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilSupp.c
./depends.sh "gcc" `dirname src/misc/extra/extraUtilSupp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c > src/misc/extra/extraUtilSupp.d
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilReader.c
./depends.sh "gcc" `dirname src/misc/extra/extraUtilReader.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c > src/misc/extra/extraUtilReader.d
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilProgress.c
./depends.sh "gcc" `dirname src/misc/extra/extraUtilProgress.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c > src/misc/extra/extraUtilProgress.d
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilPerm.c
./depends.sh "gcc" `dirname src/misc/extra/extraUtilPerm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c > src/misc/extra/extraUtilPerm.d
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilPath.c
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilMult.c
./depends.sh "gcc" `dirname src/misc/extra/extraUtilPath.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c > src/misc/extra/extraUtilPath.d
./depends.sh "gcc" `dirname src/misc/extra/extraUtilMult.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c > src/misc/extra/extraUtilMult.d
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilMisc.c
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilMemory.c
./depends.sh "gcc" `dirname src/misc/extra/extraUtilMisc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c > src/misc/extra/extraUtilMisc.d
./depends.sh "gcc" `dirname src/misc/extra/extraUtilMemory.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c > src/misc/extra/extraUtilMemory.d
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilMaj.c
./depends.sh "gcc" `dirname src/misc/extra/extraUtilMaj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c > src/misc/extra/extraUtilMaj.d
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilMacc.c
./depends.sh "gcc" `dirname src/misc/extra/extraUtilMacc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c > src/misc/extra/extraUtilMacc.d
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilGen.c
./depends.sh "gcc" `dirname src/misc/extra/extraUtilGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c > src/misc/extra/extraUtilGen.d
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilFile.c
./depends.sh "gcc" `dirname src/misc/extra/extraUtilFile.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c > src/misc/extra/extraUtilFile.d
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilEnum.c
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilDsd.c
./depends.sh "gcc" `dirname src/misc/extra/extraUtilEnum.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c > src/misc/extra/extraUtilEnum.d
./depends.sh "gcc" `dirname src/misc/extra/extraUtilDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c > src/misc/extra/extraUtilDsd.d
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilCube.c
./depends.sh "gcc" `dirname src/misc/extra/extraUtilCube.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c > src/misc/extra/extraUtilCube.d
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilCfs.c
./depends.sh "gcc" `dirname src/misc/extra/extraUtilCfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c > src/misc/extra/extraUtilCfs.d
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilCanon.c
./depends.sh "gcc" `dirname src/misc/extra/extraUtilCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c > src/misc/extra/extraUtilCanon.d
-> ABC: `` Generating dependency: /src/misc/extra/extraUtilBitMatrix.c
./depends.sh "gcc" `dirname src/misc/extra/extraUtilBitMatrix.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c > src/misc/extra/extraUtilBitMatrix.d
-> ABC: `` Generating dependency: /src/map/mpm/mpmUtil.c
./depends.sh "gcc" `dirname src/map/mpm/mpmUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c > src/map/mpm/mpmUtil.d
-> ABC: `` Generating dependency: /src/map/mpm/mpmTruth.c
./depends.sh "gcc" `dirname src/map/mpm/mpmTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c > src/map/mpm/mpmTruth.d
-> ABC: `` Generating dependency: /src/map/mpm/mpmPre.c
./depends.sh "gcc" `dirname src/map/mpm/mpmPre.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c > src/map/mpm/mpmPre.d
-> ABC: `` Generating dependency: /src/map/mpm/mpmMig.c
./depends.sh "gcc" `dirname src/map/mpm/mpmMig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c > src/map/mpm/mpmMig.d
-> ABC: `` Generating dependency: /src/map/mpm/mpmMap.c
./depends.sh "gcc" `dirname src/map/mpm/mpmMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c > src/map/mpm/mpmMap.d
-> ABC: `` Generating dependency: /src/map/mpm/mpmMan.c
./depends.sh "gcc" `dirname src/map/mpm/mpmMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c > src/map/mpm/mpmMan.d
-> ABC: `` Generating dependency: /src/map/mpm/mpmLib.c
./depends.sh "gcc" `dirname src/map/mpm/mpmLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c > src/map/mpm/mpmLib.d
-> ABC: `` Generating dependency: /src/map/mpm/mpmGates.c
-> ABC: `` Generating dependency: /src/map/mpm/mpmDsd.c
./depends.sh "gcc" `dirname src/map/mpm/mpmDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c > src/map/mpm/mpmDsd.d
./depends.sh "gcc" `dirname src/map/mpm/mpmGates.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c > src/map/mpm/mpmGates.d
-> ABC: `` Generating dependency: /src/map/mpm/mpmCore.c
./depends.sh "gcc" `dirname src/map/mpm/mpmCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c > src/map/mpm/mpmCore.d
-> ABC: `` Generating dependency: /src/map/mpm/mpmAbc.c
./depends.sh "gcc" `dirname src/map/mpm/mpmAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c > src/map/mpm/mpmAbc.d
-> ABC: `` Generating dependency: /src/map/scl/sclUtil.c
./depends.sh "gcc" `dirname src/map/scl/sclUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c > src/map/scl/sclUtil.d
-> ABC: `` Generating dependency: /src/map/scl/sclUpsize.c
./depends.sh "gcc" `dirname src/map/scl/sclUpsize.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c > src/map/scl/sclUpsize.d
-> ABC: `` Generating dependency: /src/map/scl/sclSize.c
./depends.sh "gcc" `dirname src/map/scl/sclSize.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c > src/map/scl/sclSize.d
-> ABC: `` Generating dependency: /src/map/scl/sclLoad.c
./depends.sh "gcc" `dirname src/map/scl/sclLoad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c > src/map/scl/sclLoad.d
-> ABC: `` Generating dependency: /src/map/scl/sclLibUtil.c
-> ABC: `` Generating dependency: /src/map/scl/sclLibScl.c
./depends.sh "gcc" `dirname src/map/scl/sclLibUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c > src/map/scl/sclLibUtil.d
./depends.sh "gcc" `dirname src/map/scl/sclLibScl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c > src/map/scl/sclLibScl.d
-> ABC: `` Generating dependency: /src/map/scl/sclLiberty.c
./depends.sh "gcc" `dirname src/map/scl/sclLiberty.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLiberty.c > src/map/scl/sclLiberty.d
-> ABC: `` Generating dependency: /src/map/scl/sclDnsize.c
./depends.sh "gcc" `dirname src/map/scl/sclDnsize.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c > src/map/scl/sclDnsize.d
-> ABC: `` Generating dependency: /src/map/scl/sclBufSize.c
./depends.sh "gcc" `dirname src/map/scl/sclBufSize.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c > src/map/scl/sclBufSize.d
-> ABC: `` Generating dependency: /src/map/scl/sclBuffer.c
./depends.sh "gcc" `dirname src/map/scl/sclBuffer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c > src/map/scl/sclBuffer.d
-> ABC: `` Generating dependency: /src/map/scl/scl.c
./depends.sh "gcc" `dirname src/map/scl/scl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c > src/map/scl/scl.d
-> ABC: `` Generating dependency: /src/map/cov/covMinUtil.c
-> ABC: `` Generating dependency: /src/map/cov/covMinSop.c
./depends.sh "gcc" `dirname src/map/cov/covMinUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c > src/map/cov/covMinUtil.d
./depends.sh "gcc" `dirname src/map/cov/covMinSop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c > src/map/cov/covMinSop.d
-> ABC: `` Generating dependency: /src/map/cov/covMinMan.c
./depends.sh "gcc" `dirname src/map/cov/covMinMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinMan.c > src/map/cov/covMinMan.d
-> ABC: `` Generating dependency: /src/map/cov/covMinEsop.c
./depends.sh "gcc" `dirname src/map/cov/covMinEsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c > src/map/cov/covMinEsop.d
-> ABC: `` Generating dependency: /src/map/cov/covMan.c
./depends.sh "gcc" `dirname src/map/cov/covMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c > src/map/cov/covMan.d
-> ABC: `` Generating dependency: /src/map/cov/covCore.c
./depends.sh "gcc" `dirname src/map/cov/covCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c > src/map/cov/covCore.d
-> ABC: `` Generating dependency: /src/map/cov/covBuild.c
./depends.sh "gcc" `dirname src/map/cov/covBuild.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c > src/map/cov/covBuild.d
-> ABC: `` Generating dependency: /src/map/amap/amapUniq.c
./depends.sh "gcc" `dirname src/map/amap/amapUniq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapUniq.c > src/map/amap/amapUniq.d
-> ABC: `` Generating dependency: /src/map/amap/amapRule.c
./depends.sh "gcc" `dirname src/map/amap/amapRule.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRule.c > src/map/amap/amapRule.d
-> ABC: `` Generating dependency: /src/map/amap/amapRead.c
./depends.sh "gcc" `dirname src/map/amap/amapRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c > src/map/amap/amapRead.d
-> ABC: `` Generating dependency: /src/map/amap/amapPerm.c
./depends.sh "gcc" `dirname src/map/amap/amapPerm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapPerm.c > src/map/amap/amapPerm.d
-> ABC: `` Generating dependency: /src/map/amap/amapParse.c
./depends.sh "gcc" `dirname src/map/amap/amapParse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c > src/map/amap/amapParse.d
-> ABC: `` Generating dependency: /src/map/amap/amapOutput.c
./depends.sh "gcc" `dirname src/map/amap/amapOutput.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c > src/map/amap/amapOutput.d
-> ABC: `` Generating dependency: /src/map/amap/amapMerge.c
./depends.sh "gcc" `dirname src/map/amap/amapMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c > src/map/amap/amapMerge.d
-> ABC: `` Generating dependency: /src/map/amap/amapMatch.c
./depends.sh "gcc" `dirname src/map/amap/amapMatch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c > src/map/amap/amapMatch.d
-> ABC: `` Generating dependency: /src/map/amap/amapMan.c
./depends.sh "gcc" `dirname src/map/amap/amapMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c > src/map/amap/amapMan.d
-> ABC: `` Generating dependency: /src/map/amap/amapLiberty.c
./depends.sh "gcc" `dirname src/map/amap/amapLiberty.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c > src/map/amap/amapLiberty.d
-> ABC: `` Generating dependency: /src/map/amap/amapLib.c
./depends.sh "gcc" `dirname src/map/amap/amapLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLib.c > src/map/amap/amapLib.d
-> ABC: `` Generating dependency: /src/map/amap/amapGraph.c
./depends.sh "gcc" `dirname src/map/amap/amapGraph.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c > src/map/amap/amapGraph.d
-> ABC: `` Generating dependency: /src/map/amap/amapCore.c
./depends.sh "gcc" `dirname src/map/amap/amapCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c > src/map/amap/amapCore.d
-> ABC: `` Generating dependency: /src/map/if/ifUtil.c
./depends.sh "gcc" `dirname src/map/if/ifUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c > src/map/if/ifUtil.d
-> ABC: `` Generating dependency: /src/map/if/ifTune.c
./depends.sh "gcc" `dirname src/map/if/ifTune.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c > src/map/if/ifTune.d
-> ABC: `` Generating dependency: /src/map/if/ifTruth.c
./depends.sh "gcc" `dirname src/map/if/ifTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTruth.c > src/map/if/ifTruth.d
-> ABC: `` Generating dependency: /src/map/if/ifTime.c
./depends.sh "gcc" `dirname src/map/if/ifTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c > src/map/if/ifTime.d
-> ABC: `` Generating dependency: /src/map/if/ifTest.c
-> ABC: `` Generating dependency: /src/map/if/ifSeq.c
./depends.sh "gcc" `dirname src/map/if/ifTest.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c > src/map/if/ifTest.d
./depends.sh "gcc" `dirname src/map/if/ifSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c > src/map/if/ifSeq.d
-> ABC: `` Generating dependency: /src/map/if/ifSelect.c
./depends.sh "gcc" `dirname src/map/if/ifSelect.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c > src/map/if/ifSelect.d
-> ABC: `` Generating dependency: /src/map/if/ifSat.c
./depends.sh "gcc" `dirname src/map/if/ifSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c > src/map/if/ifSat.d
-> ABC: `` Generating dependency: /src/map/if/ifReduce.c
./depends.sh "gcc" `dirname src/map/if/ifReduce.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c > src/map/if/ifReduce.d
-> ABC: `` Generating dependency: /src/map/if/ifMatch2.c
./depends.sh "gcc" `dirname src/map/if/ifMatch2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c > src/map/if/ifMatch2.d
-> ABC: `` Generating dependency: /src/map/if/ifMap.c
-> ABC: `` Generating dependency: /src/map/if/ifMan.c
./depends.sh "gcc" `dirname src/map/if/ifMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c > src/map/if/ifMap.d
./depends.sh "gcc" `dirname src/map/if/ifMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c > src/map/if/ifMan.d
-> ABC: `` Generating dependency: /src/map/if/ifLibLut.c
-> ABC: `` Generating dependency: /src/map/if/ifLibBox.c
./depends.sh "gcc" `dirname src/map/if/ifLibLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c > src/map/if/ifLibLut.d
./depends.sh "gcc" `dirname src/map/if/ifLibBox.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c > src/map/if/ifLibBox.d
-> ABC: `` Generating dependency: /src/map/if/ifDsd.c
-> ABC: `` Generating dependency: /src/map/if/ifDelay.c
./depends.sh "gcc" `dirname src/map/if/ifDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c > src/map/if/ifDsd.d
./depends.sh "gcc" `dirname src/map/if/ifDelay.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c > src/map/if/ifDelay.d
-> ABC: `` Generating dependency: /src/map/if/ifDec75.c
./depends.sh "gcc" `dirname src/map/if/ifDec75.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c > src/map/if/ifDec75.d
-> ABC: `` Generating dependency: /src/map/if/ifDec16.c
./depends.sh "gcc" `dirname src/map/if/ifDec16.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c > src/map/if/ifDec16.d
-> ABC: `` Generating dependency: /src/map/if/ifDec10.c
./depends.sh "gcc" `dirname src/map/if/ifDec10.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c > src/map/if/ifDec10.d
-> ABC: `` Generating dependency: /src/map/if/ifDec08.c
./depends.sh "gcc" `dirname src/map/if/ifDec08.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c > src/map/if/ifDec08.d
-> ABC: `` Generating dependency: /src/map/if/ifDec07.c
./depends.sh "gcc" `dirname src/map/if/ifDec07.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c > src/map/if/ifDec07.d
-> ABC: `` Generating dependency: /src/map/if/ifData2.c
./depends.sh "gcc" `dirname src/map/if/ifData2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c > src/map/if/ifData2.d
-> ABC: `` Generating dependency: /src/map/if/ifCut.c
./depends.sh "gcc" `dirname src/map/if/ifCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCut.c > src/map/if/ifCut.d
-> ABC: `` Generating dependency: /src/map/if/ifCore.c
-> ABC: `` Generating dependency: /src/map/if/ifCache.c
./depends.sh "gcc" `dirname src/map/if/ifCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c > src/map/if/ifCore.d
./depends.sh "gcc" `dirname src/map/if/ifCache.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c > src/map/if/ifCache.d
-> ABC: `` Generating dependency: /src/map/if/ifCom.c
-> ABC: `` Generating dependency: /src/map/super/superGate.c
./depends.sh "gcc" `dirname src/map/if/ifCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c > src/map/if/ifCom.d
./depends.sh "gcc" `dirname src/map/super/superGate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c > src/map/super/superGate.d
-> ABC: `` Generating dependency: /src/map/super/superAnd.c
./depends.sh "gcc" `dirname src/map/super/superAnd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c > src/map/super/superAnd.d
-> ABC: `` Generating dependency: /src/map/super/super.c
-> ABC: `` Generating dependency: /src/map/mio/mioUtils.c
./depends.sh "gcc" `dirname src/map/super/super.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c > src/map/super/super.d
./depends.sh "gcc" `dirname src/map/mio/mioUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c > src/map/mio/mioUtils.d
-> ABC: `` Generating dependency: /src/map/mio/mioSop.c
./depends.sh "gcc" `dirname src/map/mio/mioSop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c > src/map/mio/mioSop.d
-> ABC: `` Generating dependency: /src/map/mio/mioRead.c
./depends.sh "gcc" `dirname src/map/mio/mioRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c > src/map/mio/mioRead.d
-> ABC: `` Generating dependency: /src/map/mio/mioParse.c
./depends.sh "gcc" `dirname src/map/mio/mioParse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c > src/map/mio/mioParse.d
-> ABC: `` Generating dependency: /src/map/mio/mioFunc.c
./depends.sh "gcc" `dirname src/map/mio/mioFunc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c > src/map/mio/mioFunc.d
-> ABC: `` Generating dependency: /src/map/mio/mioApi.c
./depends.sh "gcc" `dirname src/map/mio/mioApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c > src/map/mio/mioApi.d
-> ABC: `` Generating dependency: /src/map/mio/mio.c
./depends.sh "gcc" `dirname src/map/mio/mio.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c > src/map/mio/mio.d
-> ABC: `` Generating dependency: /src/map/mapper/mapperVec.c
./depends.sh "gcc" `dirname src/map/mapper/mapperVec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c > src/map/mapper/mapperVec.d
-> ABC: `` Generating dependency: /src/map/mapper/mapperUtils.c
./depends.sh "gcc" `dirname src/map/mapper/mapperUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c > src/map/mapper/mapperUtils.d
-> ABC: `` Generating dependency: /src/map/mapper/mapperTruth.c
./depends.sh "gcc" `dirname src/map/mapper/mapperTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c > src/map/mapper/mapperTruth.d
-> ABC: `` Generating dependency: /src/map/mapper/mapperTree.c
./depends.sh "gcc" `dirname src/map/mapper/mapperTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c > src/map/mapper/mapperTree.d
-> ABC: `` Generating dependency: /src/map/mapper/mapperTime.c
./depends.sh "gcc" `dirname src/map/mapper/mapperTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c > src/map/mapper/mapperTime.d
-> ABC: `` Generating dependency: /src/map/mapper/mapperTable.c
./depends.sh "gcc" `dirname src/map/mapper/mapperTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTable.c > src/map/mapper/mapperTable.d
-> ABC: `` Generating dependency: /src/map/mapper/mapperSwitch.c
./depends.sh "gcc" `dirname src/map/mapper/mapperSwitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSwitch.c > src/map/mapper/mapperSwitch.d
-> ABC: `` Generating dependency: /src/map/mapper/mapperSuper.c
./depends.sh "gcc" `dirname src/map/mapper/mapperSuper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSuper.c > src/map/mapper/mapperSuper.d
-> ABC: `` Generating dependency: /src/map/mapper/mapperRefs.c
./depends.sh "gcc" `dirname src/map/mapper/mapperRefs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c > src/map/mapper/mapperRefs.d
-> ABC: `` Generating dependency: /src/map/mapper/mapperMatch.c
./depends.sh "gcc" `dirname src/map/mapper/mapperMatch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c > src/map/mapper/mapperMatch.d
-> ABC: `` Generating dependency: /src/map/mapper/mapperLib.c
./depends.sh "gcc" `dirname src/map/mapper/mapperLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c > src/map/mapper/mapperLib.d
-> ABC: `` Generating dependency: /src/map/mapper/mapperCutUtils.c
-> ABC: `` Generating dependency: /src/map/mapper/mapperCut.c
./depends.sh "gcc" `dirname src/map/mapper/mapperCutUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCutUtils.c > src/map/mapper/mapperCutUtils.d
./depends.sh "gcc" `dirname src/map/mapper/mapperCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c > src/map/mapper/mapperCut.d
-> ABC: `` Generating dependency: /src/map/mapper/mapperCreate.c
./depends.sh "gcc" `dirname src/map/mapper/mapperCreate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c > src/map/mapper/mapperCreate.d
-> ABC: `` Generating dependency: /src/map/mapper/mapperCore.c
./depends.sh "gcc" `dirname src/map/mapper/mapperCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c > src/map/mapper/mapperCore.d
-> ABC: `` Generating dependency: /src/map/mapper/mapperCanon.c
./depends.sh "gcc" `dirname src/map/mapper/mapperCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c > src/map/mapper/mapperCanon.d
-> ABC: `` Generating dependency: /src/map/mapper/mapper.c
./depends.sh "gcc" `dirname src/map/mapper/mapper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c > src/map/mapper/mapper.d
-> ABC: `` Generating dependency: /src/base/test/test.c
./depends.sh "gcc" `dirname src/base/test/test.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c > src/base/test/test.d
-> ABC: `` Generating dependency: /src/base/pla/plaWrite.c
./depends.sh "gcc" `dirname src/base/pla/plaWrite.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c > src/base/pla/plaWrite.d
-> ABC: `` Generating dependency: /src/base/pla/plaRead.c
./depends.sh "gcc" `dirname src/base/pla/plaRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaRead.c > src/base/pla/plaRead.d
-> ABC: `` Generating dependency: /src/base/pla/plaSimple.c
./depends.sh "gcc" `dirname src/base/pla/plaSimple.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c > src/base/pla/plaSimple.d
-> ABC: `` Generating dependency: /src/base/pla/plaMerge.c
./depends.sh "gcc" `dirname src/base/pla/plaMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c > src/base/pla/plaMerge.d
-> ABC: `` Generating dependency: /src/base/pla/plaMan.c
-> ABC: `` Generating dependency: /src/base/pla/plaHash.c
./depends.sh "gcc" `dirname src/base/pla/plaMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c > src/base/pla/plaMan.d
-> ABC: `` Generating dependency: /src/base/pla/plaCom.c
./depends.sh "gcc" `dirname src/base/pla/plaHash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c > src/base/pla/plaHash.d
./depends.sh "gcc" `dirname src/base/pla/plaCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c > src/base/pla/plaCom.d
-> ABC: `` Generating dependency: /src/base/cba/cbaWriteVer.c
./depends.sh "gcc" `dirname src/base/cba/cbaWriteVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c > src/base/cba/cbaWriteVer.d
-> ABC: `` Generating dependency: /src/base/cba/cbaWriteBlif.c
./depends.sh "gcc" `dirname src/base/cba/cbaWriteBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c > src/base/cba/cbaWriteBlif.d
-> ABC: `` Generating dependency: /src/base/cba/cbaReadVer.c
./depends.sh "gcc" `dirname src/base/cba/cbaReadVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c > src/base/cba/cbaReadVer.d
-> ABC: `` Generating dependency: /src/base/cba/cbaReadBlif.c
./depends.sh "gcc" `dirname src/base/cba/cbaReadBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c > src/base/cba/cbaReadBlif.d
-> ABC: `` Generating dependency: /src/base/cba/cbaNtk.c
./depends.sh "gcc" `dirname src/base/cba/cbaNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c > src/base/cba/cbaNtk.d
-> ABC: `` Generating dependency: /src/base/cba/cbaCom.c
./depends.sh "gcc" `dirname src/base/cba/cbaCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c > src/base/cba/cbaCom.d
-> ABC: `` Generating dependency: /src/base/cba/cbaCba.c
./depends.sh "gcc" `dirname src/base/cba/cbaCba.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c > src/base/cba/cbaCba.d
-> ABC: `` Generating dependency: /src/base/cba/cbaBlast.c
./depends.sh "gcc" `dirname src/base/cba/cbaBlast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaBlast.c > src/base/cba/cbaBlast.d
-> ABC: `` Generating dependency: /src/base/bac/bacWriteVer.c
./depends.sh "gcc" `dirname src/base/bac/bacWriteVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c > src/base/bac/bacWriteVer.d
-> ABC: `` Generating dependency: /src/base/bac/bacWriteSmt.c
./depends.sh "gcc" `dirname src/base/bac/bacWriteSmt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c > src/base/bac/bacWriteSmt.d
-> ABC: `` Generating dependency: /src/base/bac/bacWriteBlif.c
./depends.sh "gcc" `dirname src/base/bac/bacWriteBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c > src/base/bac/bacWriteBlif.d
-> ABC: `` Generating dependency: /src/base/bac/bacReadVer.c
./depends.sh "gcc" `dirname src/base/bac/bacReadVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c > src/base/bac/bacReadVer.d
-> ABC: `` Generating dependency: /src/base/bac/bacReadSmt.c
./depends.sh "gcc" `dirname src/base/bac/bacReadSmt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c > src/base/bac/bacReadSmt.d
-> ABC: `` Generating dependency: /src/base/bac/bacReadBlif.c
./depends.sh "gcc" `dirname src/base/bac/bacReadBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c > src/base/bac/bacReadBlif.d
-> ABC: `` Generating dependency: /src/base/bac/bacPtrAbc.c
./depends.sh "gcc" `dirname src/base/bac/bacPtrAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c > src/base/bac/bacPtrAbc.d
-> ABC: `` Generating dependency: /src/base/bac/bacPtr.c
./depends.sh "gcc" `dirname src/base/bac/bacPtr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c > src/base/bac/bacPtr.d
-> ABC: `` Generating dependency: /src/base/bac/bacPrsTrans.c
./depends.sh "gcc" `dirname src/base/bac/bacPrsTrans.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsTrans.c > src/base/bac/bacPrsTrans.d
-> ABC: `` Generating dependency: /src/base/bac/bacPrsBuild.c
./depends.sh "gcc" `dirname src/base/bac/bacPrsBuild.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsBuild.c > src/base/bac/bacPrsBuild.d
-> ABC: `` Generating dependency: /src/base/bac/bacNtk.c
./depends.sh "gcc" `dirname src/base/bac/bacNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c > src/base/bac/bacNtk.d
-> ABC: `` Generating dependency: /src/base/bac/bacLib.c
./depends.sh "gcc" `dirname src/base/bac/bacLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c > src/base/bac/bacLib.d
-> ABC: `` Generating dependency: /src/base/bac/bacCom.c
./depends.sh "gcc" `dirname src/base/bac/bacCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c > src/base/bac/bacCom.d
-> ABC: `` Generating dependency: /src/base/bac/bacBac.c
./depends.sh "gcc" `dirname src/base/bac/bacBac.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c > src/base/bac/bacBac.d
-> ABC: `` Generating dependency: /src/base/bac/bacBlast.c
./depends.sh "gcc" `dirname src/base/bac/bacBlast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c > src/base/bac/bacBlast.d
-> ABC: `` Generating dependency: /src/base/acb/acbUtil.c
./depends.sh "gcc" `dirname src/base/acb/acbUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c > src/base/acb/acbUtil.d
-> ABC: `` Generating dependency: /src/base/acb/acbTest.c
./depends.sh "gcc" `dirname src/base/acb/acbTest.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c > src/base/acb/acbTest.d
-> ABC: `` Generating dependency: /src/base/acb/acbSets.c
./depends.sh "gcc" `dirname src/base/acb/acbSets.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c > src/base/acb/acbSets.d
-> ABC: `` Generating dependency: /src/base/acb/acbPush.c
./depends.sh "gcc" `dirname src/base/acb/acbPush.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c > src/base/acb/acbPush.d
-> ABC: `` Generating dependency: /src/base/acb/acbMfs.c
./depends.sh "gcc" `dirname src/base/acb/acbMfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c > src/base/acb/acbMfs.d
-> ABC: `` Generating dependency: /src/base/acb/acbFunc.c
./depends.sh "gcc" `dirname src/base/acb/acbFunc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c > src/base/acb/acbFunc.d
-> ABC: `` Generating dependency: /src/base/acb/acbCom.c
./depends.sh "gcc" `dirname src/base/acb/acbCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c > src/base/acb/acbCom.d
-> ABC: `` Generating dependency: /src/base/acb/acbAig.c
./depends.sh "gcc" `dirname src/base/acb/acbAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c > src/base/acb/acbAig.d
-> ABC: `` Generating dependency: /src/base/acb/acbAbc.c
./depends.sh "gcc" `dirname src/base/acb/acbAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c > src/base/acb/acbAbc.d
-> ABC: `` Generating dependency: /src/base/wln/wlnWriteVer.c
./depends.sh "gcc" `dirname src/base/wln/wlnWriteVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c > src/base/wln/wlnWriteVer.d
-> ABC: `` Generating dependency: /src/base/wln/wlnWlc.c
./depends.sh "gcc" `dirname src/base/wln/wlnWlc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c > src/base/wln/wlnWlc.d
-> ABC: `` Generating dependency: /src/base/wln/wlnRtl.c
./depends.sh "gcc" `dirname src/base/wln/wlnRtl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRtl.c > src/base/wln/wlnRtl.d
-> ABC: `` Generating dependency: /src/base/wln/wlnRetime.c
-> ABC: `` Generating dependency: /src/base/wln/wlnRead.c
./depends.sh "gcc" `dirname src/base/wln/wlnRetime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c > src/base/wln/wlnRetime.d
./depends.sh "gcc" `dirname src/base/wln/wlnRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRead.c > src/base/wln/wlnRead.d
-> ABC: `` Generating dependency: /src/base/wln/wlnObj.c
-> ABC: `` Generating dependency: /src/base/wln/wlnNtk.c
./depends.sh "gcc" `dirname src/base/wln/wlnObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c > src/base/wln/wlnObj.d
./depends.sh "gcc" `dirname src/base/wln/wlnNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c > src/base/wln/wlnNtk.d
-> ABC: `` Generating dependency: /src/base/wln/wlnNdr.c
./depends.sh "gcc" `dirname src/base/wln/wlnNdr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c > src/base/wln/wlnNdr.d
-> ABC: `` Generating dependency: /src/base/wln/wlnMem.c
./depends.sh "gcc" `dirname src/base/wln/wlnMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c > src/base/wln/wlnMem.d
-> ABC: `` Generating dependency: /src/base/wln/wlnGuide.c
./depends.sh "gcc" `dirname src/base/wln/wlnGuide.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnGuide.c > src/base/wln/wlnGuide.d
-> ABC: `` Generating dependency: /src/base/wln/wlnCom.c
./depends.sh "gcc" `dirname src/base/wln/wlnCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c > src/base/wln/wlnCom.d
-> ABC: `` Generating dependency: /src/base/wln/wlnBlast.c
./depends.sh "gcc" `dirname src/base/wln/wlnBlast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c > src/base/wln/wlnBlast.d
-> ABC: `` Generating dependency: /src/base/wln/wln.c
./depends.sh "gcc" `dirname src/base/wln/wln.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c > src/base/wln/wln.d
-> ABC: `` Generating dependency: /src/base/wlc/wlcWriteVer.c
./depends.sh "gcc" `dirname src/base/wlc/wlcWriteVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c > src/base/wlc/wlcWriteVer.d
-> ABC: `` Generating dependency: /src/base/wlc/wlcWin.c
./depends.sh "gcc" `dirname src/base/wlc/wlcWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c > src/base/wlc/wlcWin.d
-> ABC: `` Generating dependency: /src/base/wlc/wlcUif.c
./depends.sh "gcc" `dirname src/base/wlc/wlcUif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c > src/base/wlc/wlcUif.d
-> ABC: `` Generating dependency: /src/base/wlc/wlcStdin.c
./depends.sh "gcc" `dirname src/base/wlc/wlcStdin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c > src/base/wlc/wlcStdin.d
-> ABC: `` Generating dependency: /src/base/wlc/wlcShow.c
./depends.sh "gcc" `dirname src/base/wlc/wlcShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c > src/base/wlc/wlcShow.d
-> ABC: `` Generating dependency: /src/base/wlc/wlcSim.c
./depends.sh "gcc" `dirname src/base/wlc/wlcSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c > src/base/wlc/wlcSim.d
-> ABC: `` Generating dependency: /src/base/wlc/wlcReadVer.c
./depends.sh "gcc" `dirname src/base/wlc/wlcReadVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c > src/base/wlc/wlcReadVer.d
-> ABC: `` Generating dependency: /src/base/wlc/wlcReadSmt.c
./depends.sh "gcc" `dirname src/base/wlc/wlcReadSmt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadSmt.c > src/base/wlc/wlcReadSmt.d
-> ABC: `` Generating dependency: /src/base/wlc/wlcNtk.c
./depends.sh "gcc" `dirname src/base/wlc/wlcNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c > src/base/wlc/wlcNtk.d
-> ABC: `` Generating dependency: /src/base/wlc/wlcNdr.c
-> ABC: `` Generating dependency: /src/base/wlc/wlcMem.c
./depends.sh "gcc" `dirname src/base/wlc/wlcNdr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c > src/base/wlc/wlcNdr.d
./depends.sh "gcc" `dirname src/base/wlc/wlcMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c > src/base/wlc/wlcMem.d
-> ABC: `` Generating dependency: /src/base/wlc/wlcJson.c
./depends.sh "gcc" `dirname src/base/wlc/wlcJson.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c > src/base/wlc/wlcJson.d
-> ABC: `` Generating dependency: /src/base/wlc/wlcGraft.c
-> ABC: `` Generating dependency: /src/base/wlc/wlcCom.c
./depends.sh "gcc" `dirname src/base/wlc/wlcGraft.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c > src/base/wlc/wlcGraft.d
./depends.sh "gcc" `dirname src/base/wlc/wlcCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c > src/base/wlc/wlcCom.d
-> ABC: `` Generating dependency: /src/base/wlc/wlcBlast.c
./depends.sh "gcc" `dirname src/base/wlc/wlcBlast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c > src/base/wlc/wlcBlast.d
-> ABC: `` Generating dependency: /src/base/wlc/wlcPth.c
./depends.sh "gcc" `dirname src/base/wlc/wlcPth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c > src/base/wlc/wlcPth.d
-> ABC: `` Generating dependency: /src/base/wlc/wlcAbc.c
./depends.sh "gcc" `dirname src/base/wlc/wlcAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c > src/base/wlc/wlcAbc.d
-> ABC: `` Generating dependency: /src/base/wlc/wlcAbs2.c
./depends.sh "gcc" `dirname src/base/wlc/wlcAbs2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c > src/base/wlc/wlcAbs2.d
-> ABC: `` Generating dependency: /src/base/wlc/wlcAbs.c
./depends.sh "gcc" `dirname src/base/wlc/wlcAbs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c > src/base/wlc/wlcAbs.d
-> ABC: `` Generating dependency: /src/base/ver/verStream.c
./depends.sh "gcc" `dirname src/base/ver/verStream.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c > src/base/ver/verStream.d
-> ABC: `` Generating dependency: /src/base/ver/verParse.c
./depends.sh "gcc" `dirname src/base/ver/verParse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c > src/base/ver/verParse.d
-> ABC: `` Generating dependency: /src/base/ver/verFormula.c
./depends.sh "gcc" `dirname src/base/ver/verFormula.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c > src/base/ver/verFormula.d
-> ABC: `` Generating dependency: /src/base/ver/verCore.c
./depends.sh "gcc" `dirname src/base/ver/verCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c > src/base/ver/verCore.d
-> ABC: `` Generating dependency: /src/base/exor/exorUtil.c
./depends.sh "gcc" `dirname src/base/exor/exorUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c > src/base/exor/exorUtil.d
-> ABC: `` Generating dependency: /src/base/exor/exorList.c
./depends.sh "gcc" `dirname src/base/exor/exorList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c > src/base/exor/exorList.d
-> ABC: `` Generating dependency: /src/base/exor/exorLink.c
./depends.sh "gcc" `dirname src/base/exor/exorLink.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c > src/base/exor/exorLink.d
-> ABC: `` Generating dependency: /src/base/exor/exorCubes.c
./depends.sh "gcc" `dirname src/base/exor/exorCubes.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c > src/base/exor/exorCubes.d
-> ABC: `` Generating dependency: /src/base/exor/exorBits.c
./depends.sh "gcc" `dirname src/base/exor/exorBits.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c > src/base/exor/exorBits.d
-> ABC: `` Generating dependency: /src/base/exor/exor.c
./depends.sh "gcc" `dirname src/base/exor/exor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c > src/base/exor/exor.d
-> ABC: `` Generating dependency: /src/base/main/mainUtils.c
./depends.sh "gcc" `dirname src/base/main/mainUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c > src/base/main/mainUtils.d
-> ABC: `` Generating dependency: /src/base/main/libSupport.c
./depends.sh "gcc" `dirname src/base/main/libSupport.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c > src/base/main/libSupport.d
-> ABC: `` Generating dependency: /src/base/main/mainReal.c
./depends.sh "gcc" `dirname src/base/main/mainReal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c > src/base/main/mainReal.d
-> ABC: `` Generating dependency: /src/base/main/mainLib.c
-> ABC: `` Generating dependency: /src/base/main/mainInit.c
./depends.sh "gcc" `dirname src/base/main/mainLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c > src/base/main/mainLib.d
-> ABC: `` Generating dependency: /src/base/main/mainFrame.c
./depends.sh "gcc" `dirname src/base/main/mainInit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c > src/base/main/mainInit.d
./depends.sh "gcc" `dirname src/base/main/mainFrame.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c > src/base/main/mainFrame.d
-> ABC: `` Generating dependency: /src/base/main/main.c
./depends.sh "gcc" `dirname src/base/main/main.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c > src/base/main/main.d
-> ABC: `` Generating dependency: /src/base/io/ioWriteSmv.c
./depends.sh "gcc" `dirname src/base/io/ioWriteSmv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c > src/base/io/ioWriteSmv.d
-> ABC: `` Generating dependency: /src/base/io/ioWriteVerilog.c
./depends.sh "gcc" `dirname src/base/io/ioWriteVerilog.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c > src/base/io/ioWriteVerilog.d
-> ABC: `` Generating dependency: /src/base/io/ioWritePla.c
./depends.sh "gcc" `dirname src/base/io/ioWritePla.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c > src/base/io/ioWritePla.d
-> ABC: `` Generating dependency: /src/base/io/ioWriteList.c
./depends.sh "gcc" `dirname src/base/io/ioWriteList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c > src/base/io/ioWriteList.d
-> ABC: `` Generating dependency: /src/base/io/ioWriteGml.c
./depends.sh "gcc" `dirname src/base/io/ioWriteGml.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c > src/base/io/ioWriteGml.d
-> ABC: `` Generating dependency: /src/base/io/ioWriteEdgelist.c
./depends.sh "gcc" `dirname src/base/io/ioWriteEdgelist.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEdgelist.c > src/base/io/ioWriteEdgelist.d
-> ABC: `` Generating dependency: /src/base/io/ioWriteEqn.c
./depends.sh "gcc" `dirname src/base/io/ioWriteEqn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c > src/base/io/ioWriteEqn.d
-> ABC: `` Generating dependency: /src/base/io/ioWriteDot.c
./depends.sh "gcc" `dirname src/base/io/ioWriteDot.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c > src/base/io/ioWriteDot.d
-> ABC: `` Generating dependency: /src/base/io/ioWriteCnf.c
./depends.sh "gcc" `dirname src/base/io/ioWriteCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c > src/base/io/ioWriteCnf.d
-> ABC: `` Generating dependency: /src/base/io/ioWriteBook.c
./depends.sh "gcc" `dirname src/base/io/ioWriteBook.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c > src/base/io/ioWriteBook.d
-> ABC: `` Generating dependency: /src/base/io/ioWriteBlifMv.c
./depends.sh "gcc" `dirname src/base/io/ioWriteBlifMv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c > src/base/io/ioWriteBlifMv.d
-> ABC: `` Generating dependency: /src/base/io/ioWriteBlif.c
./depends.sh "gcc" `dirname src/base/io/ioWriteBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c > src/base/io/ioWriteBlif.d
-> ABC: `` Generating dependency: /src/base/io/ioWriteBench.c
./depends.sh "gcc" `dirname src/base/io/ioWriteBench.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c > src/base/io/ioWriteBench.d
-> ABC: `` Generating dependency: /src/base/io/ioWriteBblif.c
./depends.sh "gcc" `dirname src/base/io/ioWriteBblif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c > src/base/io/ioWriteBblif.d
-> ABC: `` Generating dependency: /src/base/io/ioWriteBaf.c
-> ABC: `` Generating dependency: /src/base/io/ioWriteAiger.c
./depends.sh "gcc" `dirname src/base/io/ioWriteBaf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c > src/base/io/ioWriteBaf.d
./depends.sh "gcc" `dirname src/base/io/ioWriteAiger.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c > src/base/io/ioWriteAiger.d
-> ABC: `` Generating dependency: /src/base/io/ioUtil.c
./depends.sh "gcc" `dirname src/base/io/ioUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c > src/base/io/ioUtil.d
-> ABC: `` Generating dependency: /src/base/io/ioReadVerilog.c
./depends.sh "gcc" `dirname src/base/io/ioReadVerilog.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c > src/base/io/ioReadVerilog.d
-> ABC: `` Generating dependency: /src/base/io/ioReadPlaMo.c
./depends.sh "gcc" `dirname src/base/io/ioReadPlaMo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c > src/base/io/ioReadPlaMo.d
-> ABC: `` Generating dependency: /src/base/io/ioReadPla.c
./depends.sh "gcc" `dirname src/base/io/ioReadPla.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c > src/base/io/ioReadPla.d
-> ABC: `` Generating dependency: /src/base/io/ioReadEqn.c
./depends.sh "gcc" `dirname src/base/io/ioReadEqn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c > src/base/io/ioReadEqn.d
-> ABC: `` Generating dependency: /src/base/io/ioReadEdif.c
./depends.sh "gcc" `dirname src/base/io/ioReadEdif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c > src/base/io/ioReadEdif.d
-> ABC: `` Generating dependency: /src/base/io/ioReadDsd.c
-> ABC: `` Generating dependency: /src/base/io/ioReadBlifMv.c
./depends.sh "gcc" `dirname src/base/io/ioReadDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c > src/base/io/ioReadDsd.d
./depends.sh "gcc" `dirname src/base/io/ioReadBlifMv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c > src/base/io/ioReadBlifMv.d
-> ABC: `` Generating dependency: /src/base/io/ioReadBlifAig.c
./depends.sh "gcc" `dirname src/base/io/ioReadBlifAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c > src/base/io/ioReadBlifAig.d
-> ABC: `` Generating dependency: /src/base/io/ioReadBlif.c
./depends.sh "gcc" `dirname src/base/io/ioReadBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c > src/base/io/ioReadBlif.d
-> ABC: `` Generating dependency: /src/base/io/ioReadBench.c
./depends.sh "gcc" `dirname src/base/io/ioReadBench.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c > src/base/io/ioReadBench.d
-> ABC: `` Generating dependency: /src/base/io/ioReadBblif.c
./depends.sh "gcc" `dirname src/base/io/ioReadBblif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c > src/base/io/ioReadBblif.d
-> ABC: `` Generating dependency: /src/base/io/ioReadBaf.c
./depends.sh "gcc" `dirname src/base/io/ioReadBaf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c > src/base/io/ioReadBaf.d
-> ABC: `` Generating dependency: /src/base/io/ioReadAiger.c
./depends.sh "gcc" `dirname src/base/io/ioReadAiger.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c > src/base/io/ioReadAiger.d
-> ABC: `` Generating dependency: /src/base/io/ioJson.c
./depends.sh "gcc" `dirname src/base/io/ioJson.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c > src/base/io/ioJson.d
-> ABC: `` Generating dependency: /src/base/io/io.c
./depends.sh "gcc" `dirname src/base/io/io.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c > src/base/io/io.d
-> ABC: `` Generating dependency: /src/base/cmd/cmdUtils.c
./depends.sh "gcc" `dirname src/base/cmd/cmdUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c > src/base/cmd/cmdUtils.d
-> ABC: `` Generating dependency: /src/base/cmd/cmdStarter.c
-> ABC: `` Generating dependency: /src/base/cmd/cmdPlugin.c
./depends.sh "gcc" `dirname src/base/cmd/cmdStarter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c > src/base/cmd/cmdStarter.d
./depends.sh "gcc" `dirname src/base/cmd/cmdPlugin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c > src/base/cmd/cmdPlugin.d
-> ABC: `` Generating dependency: /src/base/cmd/cmdLoad.c
./depends.sh "gcc" `dirname src/base/cmd/cmdLoad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c > src/base/cmd/cmdLoad.d
-> ABC: `` Generating dependency: /src/base/cmd/cmdHist.c
./depends.sh "gcc" `dirname src/base/cmd/cmdHist.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c > src/base/cmd/cmdHist.d
-> ABC: `` Generating dependency: /src/base/cmd/cmdFlag.c
./depends.sh "gcc" `dirname src/base/cmd/cmdFlag.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c > src/base/cmd/cmdFlag.d
-> ABC: `` Generating dependency: /src/base/cmd/cmdAuto.c
./depends.sh "gcc" `dirname src/base/cmd/cmdAuto.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c > src/base/cmd/cmdAuto.d
-> ABC: `` Generating dependency: /src/base/cmd/cmdApi.c
./depends.sh "gcc" `dirname src/base/cmd/cmdApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c > src/base/cmd/cmdApi.d
-> ABC: `` Generating dependency: /src/base/cmd/cmdAlias.c
./depends.sh "gcc" `dirname src/base/cmd/cmdAlias.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c > src/base/cmd/cmdAlias.d
-> ABC: `` Generating dependency: /src/base/cmd/cmd.c
./depends.sh "gcc" `dirname src/base/cmd/cmd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c > src/base/cmd/cmd.d
-> ABC: `` Generating dependency: /src/base/abci/abcXsim.c
./depends.sh "gcc" `dirname src/base/abci/abcXsim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c > src/base/abci/abcXsim.d
-> ABC: `` Generating dependency: /src/base/abci/abcVerify.c
./depends.sh "gcc" `dirname src/base/abci/abcVerify.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c > src/base/abci/abcVerify.d
-> ABC: `` Generating dependency: /src/base/abci/abcUnreach.c
./depends.sh "gcc" `dirname src/base/abci/abcUnreach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c > src/base/abci/abcUnreach.d
-> ABC: `` Generating dependency: /src/base/abci/abcUnate.c
./depends.sh "gcc" `dirname src/base/abci/abcUnate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c > src/base/abci/abcUnate.d
-> ABC: `` Generating dependency: /src/base/abci/abcTiming.c
./depends.sh "gcc" `dirname src/base/abci/abcTiming.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c > src/base/abci/abcTiming.d
-> ABC: `` Generating dependency: /src/base/abci/abcTim.c
./depends.sh "gcc" `dirname src/base/abci/abcTim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c > src/base/abci/abcTim.d
-> ABC: `` Generating dependency: /src/base/abci/abcSymm.c
./depends.sh "gcc" `dirname src/base/abci/abcSymm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c > src/base/abci/abcSymm.d
-> ABC: `` Generating dependency: /src/base/abci/abcSweep.c
./depends.sh "gcc" `dirname src/base/abci/abcSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c > src/base/abci/abcSweep.d
-> ABC: `` Generating dependency: /src/base/abci/abcStrash.c
-> ABC: `` Generating dependency: /src/base/abci/abcSpeedup.c
./depends.sh "gcc" `dirname src/base/abci/abcStrash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c > src/base/abci/abcStrash.d
./depends.sh "gcc" `dirname src/base/abci/abcSpeedup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c > src/base/abci/abcSpeedup.d
-> ABC: `` Generating dependency: /src/base/abci/abcSense.c
./depends.sh "gcc" `dirname src/base/abci/abcSense.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSense.c > src/base/abci/abcSense.d
-> ABC: `` Generating dependency: /src/base/abci/abcScorr.c
./depends.sh "gcc" `dirname src/base/abci/abcScorr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c > src/base/abci/abcScorr.d
-> ABC: `` Generating dependency: /src/base/abci/abcSaucy.c
./depends.sh "gcc" `dirname src/base/abci/abcSaucy.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c > src/base/abci/abcSaucy.d
-> ABC: `` Generating dependency: /src/base/abci/abcSat.c
./depends.sh "gcc" `dirname src/base/abci/abcSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c > src/base/abci/abcSat.d
-> ABC: `` Generating dependency: /src/base/abci/abcRunGen.c
./depends.sh "gcc" `dirname src/base/abci/abcRunGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c > src/base/abci/abcRunGen.d
-> ABC: `` Generating dependency: /src/base/abci/abcRr.c
./depends.sh "gcc" `dirname src/base/abci/abcRr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c > src/base/abci/abcRr.d
-> ABC: `` Generating dependency: /src/base/abci/abcRpo.c
./depends.sh "gcc" `dirname src/base/abci/abcRpo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c > src/base/abci/abcRpo.d
-> ABC: `` Generating dependency: /src/base/abci/abcRewrite.c
./depends.sh "gcc" `dirname src/base/abci/abcRewrite.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRewrite.c > src/base/abci/abcRewrite.d
-> ABC: `` Generating dependency: /src/base/abci/abcResub.c
./depends.sh "gcc" `dirname src/base/abci/abcResub.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcResub.c > src/base/abci/abcResub.d
-> ABC: `` Generating dependency: /src/base/abci/abcRestruct.c
./depends.sh "gcc" `dirname src/base/abci/abcRestruct.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c > src/base/abci/abcRestruct.d
-> ABC: `` Generating dependency: /src/base/abci/abcReorder.c
-> ABC: `` Generating dependency: /src/base/abci/abcRenode.c
./depends.sh "gcc" `dirname src/base/abci/abcReorder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c > src/base/abci/abcReorder.d
./depends.sh "gcc" `dirname src/base/abci/abcRenode.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c > src/base/abci/abcRenode.d
-> ABC: `` Generating dependency: /src/base/abci/abcRefactor.c
./depends.sh "gcc" `dirname src/base/abci/abcRefactor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c > src/base/abci/abcRefactor.d
-> ABC: `` Generating dependency: /src/base/abci/abcReach.c
./depends.sh "gcc" `dirname src/base/abci/abcReach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c > src/base/abci/abcReach.d
-> ABC: `` Generating dependency: /src/base/abci/abcReconv.c
./depends.sh "gcc" `dirname src/base/abci/abcReconv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c > src/base/abci/abcReconv.d
-> ABC: `` Generating dependency: /src/base/abci/abcRec3.c
./depends.sh "gcc" `dirname src/base/abci/abcRec3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c > src/base/abci/abcRec3.d
-> ABC: `` Generating dependency: /src/base/abci/abcQuant.c
./depends.sh "gcc" `dirname src/base/abci/abcQuant.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c > src/base/abci/abcQuant.d
-> ABC: `` Generating dependency: /src/base/abci/abcQbf.c
./depends.sh "gcc" `dirname src/base/abci/abcQbf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c > src/base/abci/abcQbf.d
-> ABC: `` Generating dependency: /src/base/abci/abcProve.c
-> ABC: `` Generating dependency: /src/base/abci/abcPrint.c
./depends.sh "gcc" `dirname src/base/abci/abcProve.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c > src/base/abci/abcProve.d
./depends.sh "gcc" `dirname src/base/abci/abcPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c > src/base/abci/abcPrint.d
-> ABC: `` Generating dependency: /src/base/abci/abcPart.c
./depends.sh "gcc" `dirname src/base/abci/abcPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c > src/base/abci/abcPart.d
-> ABC: `` Generating dependency: /src/base/abci/abcOrder.c
./depends.sh "gcc" `dirname src/base/abci/abcOrder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c > src/base/abci/abcOrder.d
-> ABC: `` Generating dependency: /src/base/abci/abcOdc.c
./depends.sh "gcc" `dirname src/base/abci/abcOdc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOdc.c > src/base/abci/abcOdc.d
-> ABC: `` Generating dependency: /src/base/abci/abcNpnSave.c
./depends.sh "gcc" `dirname src/base/abci/abcNpnSave.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpnSave.c > src/base/abci/abcNpnSave.d
-> ABC: `` Generating dependency: /src/base/abci/abcNpn.c
./depends.sh "gcc" `dirname src/base/abci/abcNpn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpn.c > src/base/abci/abcNpn.d
-> ABC: `` Generating dependency: /src/base/abci/abcNtbdd.c
./depends.sh "gcc" `dirname src/base/abci/abcNtbdd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c > src/base/abci/abcNtbdd.d
-> ABC: `` Generating dependency: /src/base/abci/abcMulti.c
./depends.sh "gcc" `dirname src/base/abci/abcMulti.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c > src/base/abci/abcMulti.d
-> ABC: `` Generating dependency: /src/base/abci/abcMiter.c
./depends.sh "gcc" `dirname src/base/abci/abcMiter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c > src/base/abci/abcMiter.d
-> ABC: `` Generating dependency: /src/base/abci/abcMini.c
./depends.sh "gcc" `dirname src/base/abci/abcMini.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c > src/base/abci/abcMini.d
-> ABC: `` Generating dependency: /src/base/abci/abcMfs.c
./depends.sh "gcc" `dirname src/base/abci/abcMfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c > src/base/abci/abcMfs.d
-> ABC: `` Generating dependency: /src/base/abci/abcMerge.c
-> ABC: `` Generating dependency: /src/base/abci/abcMap.c
./depends.sh "gcc" `dirname src/base/abci/abcMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMerge.c > src/base/abci/abcMerge.d
./depends.sh "gcc" `dirname src/base/abci/abcMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c > src/base/abci/abcMap.d
-> ABC: `` Generating dependency: /src/base/abci/abcLutmin.c
./depends.sh "gcc" `dirname src/base/abci/abcLutmin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c > src/base/abci/abcLutmin.d
-> ABC: `` Generating dependency: /src/base/abci/abcLut.c
./depends.sh "gcc" `dirname src/base/abci/abcLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c > src/base/abci/abcLut.d
-> ABC: `` Generating dependency: /src/base/abci/abcLog.c
./depends.sh "gcc" `dirname src/base/abci/abcLog.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLog.c > src/base/abci/abcLog.d
-> ABC: `` Generating dependency: /src/base/abci/abcIvy.c
./depends.sh "gcc" `dirname src/base/abci/abcIvy.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c > src/base/abci/abcIvy.d
-> ABC: `` Generating dependency: /src/base/abci/abcIfMux.c
./depends.sh "gcc" `dirname src/base/abci/abcIfMux.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c > src/base/abci/abcIfMux.d
-> ABC: `` Generating dependency: /src/base/abci/abcIfif.c
./depends.sh "gcc" `dirname src/base/abci/abcIfif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c > src/base/abci/abcIfif.d
-> ABC: `` Generating dependency: /src/base/abci/abcIf.c
-> ABC: `` Generating dependency: /src/base/abci/abcHaig.c
./depends.sh "gcc" `dirname src/base/abci/abcIf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c > src/base/abci/abcIf.d
./depends.sh "gcc" `dirname src/base/abci/abcHaig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c > src/base/abci/abcHaig.d
-> ABC: `` Generating dependency: /src/base/abci/abcGen.c
-> ABC: `` Generating dependency: /src/base/abci/abcFxu.c
./depends.sh "gcc" `dirname src/base/abci/abcGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c > src/base/abci/abcGen.d
./depends.sh "gcc" `dirname src/base/abci/abcFxu.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c > src/base/abci/abcFxu.d
-> ABC: `` Generating dependency: /src/base/abci/abcFx.c
-> ABC: `` Generating dependency: /src/base/abci/abcFraig.c
./depends.sh "gcc" `dirname src/base/abci/abcFx.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c > src/base/abci/abcFx.d
./depends.sh "gcc" `dirname src/base/abci/abcFraig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c > src/base/abci/abcFraig.d
-> ABC: `` Generating dependency: /src/base/abci/abcExtract.c
./depends.sh "gcc" `dirname src/base/abci/abcExtract.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c > src/base/abci/abcExtract.d
-> ABC: `` Generating dependency: /src/base/abci/abcExact.c
./depends.sh "gcc" `dirname src/base/abci/abcExact.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c > src/base/abci/abcExact.d
-> ABC: `` Generating dependency: /src/base/abci/abcEco.c
./depends.sh "gcc" `dirname src/base/abci/abcEco.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c > src/base/abci/abcEco.d
-> ABC: `` Generating dependency: /src/base/abci/abcDsd.c
./depends.sh "gcc" `dirname src/base/abci/abcDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c > src/base/abci/abcDsd.d
-> ABC: `` Generating dependency: /src/base/abci/abcDress3.c
./depends.sh "gcc" `dirname src/base/abci/abcDress3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress3.c > src/base/abci/abcDress3.d
-> ABC: `` Generating dependency: /src/base/abci/abcDress2.c
./depends.sh "gcc" `dirname src/base/abci/abcDress2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress2.c > src/base/abci/abcDress2.d
-> ABC: `` Generating dependency: /src/base/abci/abcDress.c
./depends.sh "gcc" `dirname src/base/abci/abcDress.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress.c > src/base/abci/abcDress.d
-> ABC: `` Generating dependency: /src/base/abci/abcDetect.c
./depends.sh "gcc" `dirname src/base/abci/abcDetect.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c > src/base/abci/abcDetect.d
-> ABC: `` Generating dependency: /src/base/abci/abcDec.c
-> ABC: `` Generating dependency: /src/base/abci/abcDebug.c
./depends.sh "gcc" `dirname src/base/abci/abcDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c > src/base/abci/abcDec.d
./depends.sh "gcc" `dirname src/base/abci/abcDebug.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDebug.c > src/base/abci/abcDebug.d
-> ABC: `` Generating dependency: /src/base/abci/abcDar.c
./depends.sh "gcc" `dirname src/base/abci/abcDar.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c > src/base/abci/abcDar.d
-> ABC: `` Generating dependency: /src/base/abci/abcCut.c
./depends.sh "gcc" `dirname src/base/abci/abcCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c > src/base/abci/abcCut.d
-> ABC: `` Generating dependency: /src/base/abci/abcCollapse.c
./depends.sh "gcc" `dirname src/base/abci/abcCollapse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c > src/base/abci/abcCollapse.d
-> ABC: `` Generating dependency: /src/base/abci/abcCascade.c
./depends.sh "gcc" `dirname src/base/abci/abcCascade.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c > src/base/abci/abcCascade.d
-> ABC: `` Generating dependency: /src/base/abci/abcCas.c
-> ABC: `` Generating dependency: /src/base/abci/abcBmc.c
./depends.sh "gcc" `dirname src/base/abci/abcCas.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c > src/base/abci/abcCas.d
./depends.sh "gcc" `dirname src/base/abci/abcBmc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c > src/base/abci/abcBmc.d
-> ABC: `` Generating dependency: /src/base/abci/abcBm.c
./depends.sh "gcc" `dirname src/base/abci/abcBm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c > src/base/abci/abcBm.d
-> ABC: `` Generating dependency: /src/base/abci/abcBidec.c
./depends.sh "gcc" `dirname src/base/abci/abcBidec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c > src/base/abci/abcBidec.d
-> ABC: `` Generating dependency: /src/base/abci/abcBalance.c
./depends.sh "gcc" `dirname src/base/abci/abcBalance.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c > src/base/abci/abcBalance.d
-> ABC: `` Generating dependency: /src/base/abci/abcAuto.c
./depends.sh "gcc" `dirname src/base/abci/abcAuto.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c > src/base/abci/abcAuto.d
-> ABC: `` Generating dependency: /src/base/abci/abcAttach.c
-> ABC: `` Generating dependency: /src/base/abci/abc.c
./depends.sh "gcc" `dirname src/base/abci/abcAttach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c > src/base/abci/abcAttach.d
./depends.sh "gcc" `dirname src/base/abci/abc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c > src/base/abci/abc.d
-> ABC: `` Generating dependency: /src/base/abc/abcUtil.c
./depends.sh "gcc" `dirname src/base/abc/abcUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c > src/base/abc/abcUtil.d
-> ABC: `` Generating dependency: /src/base/abc/abcSop.c
./depends.sh "gcc" `dirname src/base/abc/abcSop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c > src/base/abc/abcSop.d
-> ABC: `` Generating dependency: /src/base/abc/abcShow.c
./depends.sh "gcc" `dirname src/base/abc/abcShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c > src/base/abc/abcShow.d
-> ABC: `` Generating dependency: /src/base/abc/abcRefs.c
./depends.sh "gcc" `dirname src/base/abc/abcRefs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c > src/base/abc/abcRefs.d
-> ABC: `` Generating dependency: /src/base/abc/abcObj.c
./depends.sh "gcc" `dirname src/base/abc/abcObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c > src/base/abc/abcObj.d
-> ABC: `` Generating dependency: /src/base/abc/abcNtk.c
./depends.sh "gcc" `dirname src/base/abc/abcNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c > src/base/abc/abcNtk.d
-> ABC: `` Generating dependency: /src/base/abc/abcNetlist.c
./depends.sh "gcc" `dirname src/base/abc/abcNetlist.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c > src/base/abc/abcNetlist.d
-> ABC: `` Generating dependency: /src/base/abc/abcNames.c
./depends.sh "gcc" `dirname src/base/abc/abcNames.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c > src/base/abc/abcNames.d
-> ABC: `` Generating dependency: /src/base/abc/abcMinBase.c
./depends.sh "gcc" `dirname src/base/abc/abcMinBase.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c > src/base/abc/abcMinBase.d
-> ABC: `` Generating dependency: /src/base/abc/abcLib.c
./depends.sh "gcc" `dirname src/base/abc/abcLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c > src/base/abc/abcLib.d
-> ABC: `` Generating dependency: /src/base/abc/abcLatch.c
./depends.sh "gcc" `dirname src/base/abc/abcLatch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c > src/base/abc/abcLatch.d
-> ABC: `` Generating dependency: /src/base/abc/abcHieNew.c
./depends.sh "gcc" `dirname src/base/abc/abcHieNew.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c > src/base/abc/abcHieNew.d
-> ABC: `` Generating dependency: /src/base/abc/abcHieGia.c
./depends.sh "gcc" `dirname src/base/abc/abcHieGia.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c > src/base/abc/abcHieGia.d
-> ABC: `` Generating dependency: /src/base/abc/abcHieCec.c
./depends.sh "gcc" `dirname src/base/abc/abcHieCec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c > src/base/abc/abcHieCec.d
-> ABC: `` Generating dependency: /src/base/abc/abcHie.c
./depends.sh "gcc" `dirname src/base/abc/abcHie.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c > src/base/abc/abcHie.d
-> ABC: `` Generating dependency: /src/base/abc/abcFunc.c
./depends.sh "gcc" `dirname src/base/abc/abcFunc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c > src/base/abc/abcFunc.d
-> ABC: `` Generating dependency: /src/base/abc/abcFanOrder.c
./depends.sh "gcc" `dirname src/base/abc/abcFanOrder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c > src/base/abc/abcFanOrder.d
-> ABC: `` Generating dependency: /src/base/abc/abcFanio.c
./depends.sh "gcc" `dirname src/base/abc/abcFanio.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c > src/base/abc/abcFanio.d
-> ABC: `` Generating dependency: /src/base/abc/abcDfs.c
./depends.sh "gcc" `dirname src/base/abc/abcDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c > src/base/abc/abcDfs.d
-> ABC: `` Generating dependency: /src/base/abc/abcCheck.c
./depends.sh "gcc" `dirname src/base/abc/abcCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c > src/base/abc/abcCheck.d
-> ABC: `` Generating dependency: /src/base/abc/abcBlifMv.c
./depends.sh "gcc" `dirname src/base/abc/abcBlifMv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c > src/base/abc/abcBlifMv.d
-> ABC: `` Generating dependency: /src/base/abc/abcBarBuf.c
./depends.sh "gcc" `dirname src/base/abc/abcBarBuf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c > src/base/abc/abcBarBuf.d
-> ABC: `` Generating dependency: /src/base/abc/abcAig.c
-> ABC: `` Generating dependency: /src/aig/gia/giaTtopt.cpp
-> ABC: `` Generating dependency: /src/aig/gia/giaTransduction.cpp
./depends.sh "gcc" `dirname src/base/abc/abcAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c > src/base/abc/abcAig.d
./depends.sh "gcc" `dirname src/aig/gia/giaTtopt.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTtopt.cpp > src/aig/gia/giaTtopt.d
./depends.sh "gcc" `dirname src/aig/gia/giaTransduction.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTransduction.cpp > src/aig/gia/giaTransduction.d
-> ABC: `` Generating dependency: /src/sat/glucose2/System2.cpp
./depends.sh "gcc" `dirname src/sat/glucose2/System2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/System2.cpp > src/sat/glucose2/System2.d
-> ABC: `` Generating dependency: /src/sat/glucose2/SimpSolver2.cpp
./depends.sh "gcc" `dirname src/sat/glucose2/SimpSolver2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/SimpSolver2.cpp > src/sat/glucose2/SimpSolver2.d
-> ABC: `` Generating dependency: /src/sat/glucose2/Options2.cpp
-> ABC: `` Generating dependency: /src/sat/glucose2/Glucose2.cpp
./depends.sh "gcc" `dirname src/sat/glucose2/Options2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Options2.cpp > src/sat/glucose2/Options2.d
./depends.sh "gcc" `dirname src/sat/glucose2/Glucose2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Glucose2.cpp > src/sat/glucose2/Glucose2.d
-> ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucoseCmd2.cpp
./depends.sh "gcc" `dirname src/sat/glucose2/AbcGlucoseCmd2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucoseCmd2.cpp > src/sat/glucose2/AbcGlucoseCmd2.d
-> ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucose2.cpp
./depends.sh "gcc" `dirname src/sat/glucose2/AbcGlucose2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucose2.cpp > src/sat/glucose2/AbcGlucose2.d
-> ABC: `` Generating dependency: /src/sat/glucose/System.cpp
./depends.sh "gcc" `dirname src/sat/glucose/System.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/System.cpp > src/sat/glucose/System.d
-> ABC: `` Generating dependency: /src/sat/glucose/SimpSolver.cpp
./depends.sh "gcc" `dirname src/sat/glucose/SimpSolver.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/SimpSolver.cpp > src/sat/glucose/SimpSolver.d
-> ABC: `` Generating dependency: /src/sat/glucose/Options.cpp
./depends.sh "gcc" `dirname src/sat/glucose/Options.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Options.cpp > src/sat/glucose/Options.d
-> ABC: `` Generating dependency: /src/sat/glucose/Glucose.cpp
./depends.sh "gcc" `dirname src/sat/glucose/Glucose.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Glucose.cpp > src/sat/glucose/Glucose.d
-> ABC: `` Generating dependency: /src/sat/glucose/AbcGlucoseCmd.cpp
./depends.sh "gcc" `dirname src/sat/glucose/AbcGlucoseCmd.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucoseCmd.cpp > src/sat/glucose/AbcGlucoseCmd.d
-> ABC: `` Generating dependency: /src/sat/glucose/AbcGlucose.cpp
./depends.sh "gcc" `dirname src/sat/glucose/AbcGlucose.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucose.cpp > src/sat/glucose/AbcGlucose.d
-> ABC: Using CC=gcc
-> ABC: Using CXX=gcc
-> ABC: Using AR=ar
-> ABC: Using LD=gcc
-> ABC: Compiling in namespace 
-> ABC: Compiling with CUDD
-> ABC: Using libreadline
-> ABC: Using pthreads
-> ABC: Found GCC_VERSION 13
-> ABC: Found GCC_MAJOR>=5
-> ABC: Using explicit -lstdc++
-> ABC: Using CFLAGS=-g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable
-> ABC: `` Compiling: /src/sat/glucose/AbcGlucose.cpp
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucose.cpp -o src/sat/glucose/AbcGlucose.o
-> ABC: `` Compiling: /src/sat/glucose/AbcGlucoseCmd.cpp
-> ABC: `` Compiling: /src/sat/glucose/Glucose.cpp
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucoseCmd.cpp -o src/sat/glucose/AbcGlucoseCmd.o
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Glucose.cpp -o src/sat/glucose/Glucose.o
-> ABC: `` Compiling: /src/sat/glucose/Options.cpp
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Options.cpp -o src/sat/glucose/Options.o
-> ABC: `` Compiling: /src/sat/glucose/SimpSolver.cpp
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/SimpSolver.cpp -o src/sat/glucose/SimpSolver.o
-> ABC: `` Compiling: /src/sat/glucose/System.cpp
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/System.cpp -o src/sat/glucose/System.o
-> ABC: `` Compiling: /src/sat/glucose2/AbcGlucose2.cpp
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucose2.cpp -o src/sat/glucose2/AbcGlucose2.o
-> ABC: `` Compiling: /src/sat/glucose2/AbcGlucoseCmd2.cpp
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucoseCmd2.cpp -o src/sat/glucose2/AbcGlucoseCmd2.o
-> ABC: `` Compiling: /src/sat/glucose2/Glucose2.cpp
-> ABC: `` Compiling: /src/sat/glucose2/Options2.cpp
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Glucose2.cpp -o src/sat/glucose2/Glucose2.o
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Options2.cpp -o src/sat/glucose2/Options2.o
-> ABC: `` Compiling: /src/sat/glucose2/SimpSolver2.cpp
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/SimpSolver2.cpp -o src/sat/glucose2/SimpSolver2.o
-> ABC: `` Compiling: /src/sat/glucose2/System2.cpp
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/System2.cpp -o src/sat/glucose2/System2.o
-> ABC: `` Compiling: /src/aig/gia/giaTransduction.cpp
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTransduction.cpp -o src/aig/gia/giaTransduction.o
-> ABC: `` Compiling: /src/aig/gia/giaTtopt.cpp
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTtopt.cpp -o src/aig/gia/giaTtopt.o
-> ABC: `` Compiling: /src/base/abc/abcAig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c -o src/base/abc/abcAig.o
-> ABC: `` Compiling: /src/base/abc/abcBarBuf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c -o src/base/abc/abcBarBuf.o
-> ABC: `` Compiling: /src/base/abc/abcBlifMv.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c -o src/base/abc/abcBlifMv.o
-> ABC: `` Compiling: /src/base/abc/abcCheck.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c -o src/base/abc/abcCheck.o
-> ABC: `` Compiling: /src/base/abc/abcDfs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c -o src/base/abc/abcDfs.o
-> ABC: `` Compiling: /src/base/abc/abcFanio.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c -o src/base/abc/abcFanio.o
-> ABC: `` Compiling: /src/base/abc/abcFanOrder.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c -o src/base/abc/abcFanOrder.o
-> ABC: `` Compiling: /src/base/abc/abcFunc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c -o src/base/abc/abcFunc.o
-> ABC: `` Compiling: /src/base/abc/abcHie.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c -o src/base/abc/abcHie.o
-> ABC: `` Compiling: /src/base/abc/abcHieCec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c -o src/base/abc/abcHieCec.o
-> ABC: `` Compiling: /src/base/abc/abcHieGia.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c -o src/base/abc/abcHieGia.o
-> ABC: `` Compiling: /src/base/abc/abcHieNew.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c -o src/base/abc/abcHieNew.o
-> ABC: `` Compiling: /src/base/abc/abcLatch.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c -o src/base/abc/abcLatch.o
-> ABC: `` Compiling: /src/base/abc/abcLib.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c -o src/base/abc/abcLib.o
-> ABC: `` Compiling: /src/base/abc/abcMinBase.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c -o src/base/abc/abcMinBase.o
-> ABC: `` Compiling: /src/base/abc/abcNames.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c -o src/base/abc/abcNames.o
-> ABC: `` Compiling: /src/base/abc/abcNetlist.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c -o src/base/abc/abcNetlist.o
-> ABC: `` Compiling: /src/base/abc/abcNtk.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c -o src/base/abc/abcNtk.o
-> ABC: `` Compiling: /src/base/abc/abcObj.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c -o src/base/abc/abcObj.o
src/base/abc/abcNames.c: In function ‘abc::Abc_NtkAddDummyPiNames(abc::Abc_Ntk_t_*)’:
src/base/abc/abcNames.c:125:25: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=]
  125 |     sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num );
      |                         ^~~~
In function ‘abc::Abc_ObjNameDummy(char*, int, int)’,
    inlined from ‘abc::Abc_NtkAddDummyPiNames(abc::Abc_Ntk_t_*)’ at src/base/abc/abcNames.c:495:26:
src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483646]
  125 |     sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num );
      |                      ^~~~~~~~
In file included from /usr/include/stdio.h:906,
                 from src/base/abc/abc.h:29,
                 from src/base/abc/abcNames.c:21:
In function ‘sprintf’,
    inlined from ‘abc::Abc_ObjNameDummy(char*, int, int)’ at src/base/abc/abcNames.c:125:12,
    inlined from ‘abc::Abc_NtkAddDummyPiNames(abc::Abc_Ntk_t_*)’ at src/base/abc/abcNames.c:495:26:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 2000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
src/base/abc/abcNames.c: In function ‘abc::Abc_NtkAddDummyPoNames(abc::Abc_Ntk_t_*)’:
src/base/abc/abcNames.c:125:25: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=]
  125 |     sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num );
      |                         ^~~~
In function ‘abc::Abc_ObjNameDummy(char*, int, int)’,
    inlined from ‘abc::Abc_NtkAddDummyPoNames(abc::Abc_Ntk_t_*)’ at src/base/abc/abcNames.c:515:26:
src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483646]
  125 |     sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num );
      |                      ^~~~~~~~
In function ‘sprintf’,
    inlined from ‘abc::Abc_ObjNameDummy(char*, int, int)’ at src/base/abc/abcNames.c:125:12,
    inlined from ‘abc::Abc_NtkAddDummyPoNames(abc::Abc_Ntk_t_*)’ at src/base/abc/abcNames.c:515:26:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 2000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
src/base/abc/abcNames.c: In function ‘abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)’:
src/base/abc/abcNames.c:125:25: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 1999 [-Wformat-overflow=]
  125 |     sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num );
      |                         ^~~~
In function ‘abc::Abc_ObjNameDummy(char*, int, int)’,
    inlined from ‘abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)’ at src/base/abc/abcNames.c:571:26:
src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483646]
  125 |     sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num );
      |                      ^~~~~~~~
In function ‘sprintf’,
    inlined from ‘abc::Abc_ObjNameDummy(char*, int, int)’ at src/base/abc/abcNames.c:125:12,
    inlined from ‘abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)’ at src/base/abc/abcNames.c:571:26:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 3 and 2147483649 bytes into a destination of size 2000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
src/base/abc/abcNames.c: In function ‘abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)’:
src/base/abc/abcNames.c:125:25: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 2000 [-Wformat-overflow=]
  125 |     sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num );
      |                         ^~~~
In function ‘abc::Abc_ObjNameDummy(char*, int, int)’,
    inlined from ‘abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)’ at src/base/abc/abcNames.c:572:26:
src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483646]
  125 |     sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num );
      |                      ^~~~~~~~
In function ‘sprintf’,
    inlined from ‘abc::Abc_ObjNameDummy(char*, int, int)’ at src/base/abc/abcNames.c:125:12,
    inlined from ‘abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)’ at src/base/abc/abcNames.c:572:26:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output 2 or more bytes (assuming 2147483648) into a destination of size 2000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
src/base/abc/abcNames.c: In function ‘abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)’:
src/base/abc/abcNames.c:125:25: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 2000 [-Wformat-overflow=]
  125 |     sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num );
      |                         ^~~~
In function ‘abc::Abc_ObjNameDummy(char*, int, int)’,
    inlined from ‘abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)’ at src/base/abc/abcNames.c:573:26:
src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483646]
  125 |     sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num );
      |                      ^~~~~~~~
In function ‘sprintf’,
    inlined from ‘abc::Abc_ObjNameDummy(char*, int, int)’ at src/base/abc/abcNames.c:125:12,
    inlined from ‘abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)’ at src/base/abc/abcNames.c:573:26:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output 2 or more bytes (assuming 2147483648) into a destination of size 2000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
-> ABC: `` Compiling: /src/base/abc/abcRefs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c -o src/base/abc/abcRefs.o
-> ABC: `` Compiling: /src/base/abc/abcShow.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c -o src/base/abc/abcShow.o
-> ABC: `` Compiling: /src/base/abc/abcSop.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c -o src/base/abc/abcSop.o
In file included from ./src/misc/extra/extra.h:44,
                 from src/base/abc/abcHieNew.c:28:
In function ‘abc::Au_ObjFaninC(abc::Au_Obj_t_*, int)’,
    inlined from ‘abc::Au_ObjFaninC2(abc::Au_Obj_t_*)’ at src/base/abc/abcHieNew.c:176:95,
    inlined from ‘abc::Au_NtkDeriveFlatGia_rec(abc::Gia_Man_t_*, abc::Au_Ntk_t_*)’ at src/base/abc/abcHieNew.c:1173:42:
src/base/abc/abcHieNew.c:173:127: warning: array subscript 2 is above array bounds of ‘int[2]’ [-Warray-bounds=]
  173 | static inline int          Au_ObjFaninC( Au_Obj_t * p, int i )           { assert(i >= 0 && i < (int)p->nFanins && p->Fanins[i]); return Au_LitIsCompl(p->Fanins[i]);  }
      |                                                                                                                    ~~~~~~~~~~~^
src/base/abc/abcHieNew.c: In function ‘abc::Au_NtkDeriveFlatGia_rec(abc::Gia_Man_t_*, abc::Au_Ntk_t_*)’:
src/base/abc/abcHieNew.c:61:28: note: while referencing ‘Fanins’
   61 |     int                    Fanins[2];          // fanin literals
      |                            ^~~~~~
-> ABC: `` Compiling: /src/base/abc/abcUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c -o src/base/abc/abcUtil.o
-> ABC: `` Compiling: /src/base/abci/abc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c -o src/base/abci/abc.o
In function ‘abc::Au_ObjFaninId(abc::Au_Obj_t_*, int)’,
    inlined from ‘abc::Au_ObjFanin(abc::Au_Obj_t_*, int)’ at src/base/abc/abcHieNew.c:169:92,
    inlined from ‘abc::Au_ObjFanin2(abc::Au_Obj_t_*)’ at src/base/abc/abcHieNew.c:172:94,
    inlined from ‘abc::Au_ObjGetXsimFan2(abc::Au_Obj_t_*)’ at src/base/abc/abcHieNew.c:1310:30,
    inlined from ‘abc::Au_NtkTerSimulate_rec(abc::Au_Ntk_t_*)’ at src/base/abc/abcHieNew.c:1355:30:
src/base/abc/abcHieNew.c:165:127: warning: array subscript 2 is above array bounds of ‘int[2]’ [-Warray-bounds=]
  165 | static inline int          Au_ObjFaninId( Au_Obj_t * p, int i )          { assert(i >= 0 && i < (int)p->nFanins && p->Fanins[i]); return Au_Lit2Var(p->Fanins[i]);     }
      |                                                                                                                    ~~~~~~~~~~~^
src/base/abc/abcHieNew.c: In function ‘abc::Au_NtkTerSimulate_rec(abc::Au_Ntk_t_*)’:
src/base/abc/abcHieNew.c:61:28: note: while referencing ‘Fanins’
   61 |     int                    Fanins[2];          // fanin literals
      |                            ^~~~~~
-> ABC: `` Compiling: /src/base/abci/abcAttach.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c -o src/base/abci/abcAttach.o
-> ABC: `` Compiling: /src/base/abci/abcAuto.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c -o src/base/abci/abcAuto.o
-> ABC: `` Compiling: /src/base/abci/abcBalance.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c -o src/base/abci/abcBalance.o
-> ABC: `` Compiling: /src/base/abci/abcBidec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c -o src/base/abci/abcBidec.o
-> ABC: `` Compiling: /src/base/abci/abcBm.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c -o src/base/abci/abcBm.o
-> ABC: `` Compiling: /src/base/abci/abcBmc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c -o src/base/abci/abcBmc.o
-> ABC: `` Compiling: /src/base/abci/abcCas.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c -o src/base/abci/abcCas.o
-> ABC: `` Compiling: /src/base/abci/abcCascade.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c -o src/base/abci/abcCascade.o
-> ABC: `` Compiling: /src/base/abci/abcCollapse.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c -o src/base/abci/abcCollapse.o
-> ABC: `` Compiling: /src/base/abci/abcCut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c -o src/base/abci/abcCut.o
-> ABC: `` Compiling: /src/base/abci/abcDar.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c -o src/base/abci/abcDar.o
-> ABC: `` Compiling: /src/base/abci/abcDebug.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDebug.c -o src/base/abci/abcDebug.o
-> ABC: `` Compiling: /src/base/abci/abcDec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c -o src/base/abci/abcDec.o
-> ABC: `` Compiling: /src/base/abci/abcDetect.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c -o src/base/abci/abcDetect.o
-> ABC: `` Compiling: /src/base/abci/abcDress.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress.c -o src/base/abci/abcDress.o
-> ABC: `` Compiling: /src/base/abci/abcDress2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress2.c -o src/base/abci/abcDress2.o
-> ABC: `` Compiling: /src/base/abci/abcDress3.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress3.c -o src/base/abci/abcDress3.o
-> ABC: `` Compiling: /src/base/abci/abcDsd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c -o src/base/abci/abcDsd.o
-> ABC: `` Compiling: /src/base/abci/abcEco.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c -o src/base/abci/abcEco.o
-> ABC: `` Compiling: /src/base/abci/abcExact.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c -o src/base/abci/abcExact.o
-> ABC: `` Compiling: /src/base/abci/abcExtract.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c -o src/base/abci/abcExtract.o
-> ABC: `` Compiling: /src/base/abci/abcFraig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c -o src/base/abci/abcFraig.o
-> ABC: `` Compiling: /src/base/abci/abcFx.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c -o src/base/abci/abcFx.o
-> ABC: `` Compiling: /src/base/abci/abcFxu.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c -o src/base/abci/abcFxu.o
-> ABC: `` Compiling: /src/base/abci/abcGen.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c -o src/base/abci/abcGen.o
-> ABC: `` Compiling: /src/base/abci/abcHaig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c -o src/base/abci/abcHaig.o
-> ABC: `` Compiling: /src/base/abci/abcIf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c -o src/base/abci/abcIf.o
-> ABC: `` Compiling: /src/base/abci/abcIfif.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c -o src/base/abci/abcIfif.o
-> ABC: `` Compiling: /src/base/abci/abcIfMux.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c -o src/base/abci/abcIfMux.o
-> ABC: `` Compiling: /src/base/abci/abcIvy.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c -o src/base/abci/abcIvy.o
-> ABC: `` Compiling: /src/base/abci/abcLog.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLog.c -o src/base/abci/abcLog.o
-> ABC: `` Compiling: /src/base/abci/abcLut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c -o src/base/abci/abcLut.o
-> ABC: `` Compiling: /src/base/abci/abcLutmin.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c -o src/base/abci/abcLutmin.o
-> ABC: `` Compiling: /src/base/abci/abcMap.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c -o src/base/abci/abcMap.o
-> ABC: `` Compiling: /src/base/abci/abcMerge.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMerge.c -o src/base/abci/abcMerge.o
-> ABC: `` Compiling: /src/base/abci/abcMfs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c -o src/base/abci/abcMfs.o
-> ABC: `` Compiling: /src/base/abci/abcMini.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c -o src/base/abci/abcMini.o
-> ABC: `` Compiling: /src/base/abci/abcMiter.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c -o src/base/abci/abcMiter.o
-> ABC: `` Compiling: /src/base/abci/abcMulti.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c -o src/base/abci/abcMulti.o
-> ABC: `` Compiling: /src/base/abci/abcNtbdd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c -o src/base/abci/abcNtbdd.o
-> ABC: `` Compiling: /src/base/abci/abcNpn.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpn.c -o src/base/abci/abcNpn.o
-> ABC: `` Compiling: /src/base/abci/abcNpnSave.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpnSave.c -o src/base/abci/abcNpnSave.o
-> ABC: `` Compiling: /src/base/abci/abcOdc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOdc.c -o src/base/abci/abcOdc.o
-> ABC: `` Compiling: /src/base/abci/abcOrder.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c -o src/base/abci/abcOrder.o
-> ABC: `` Compiling: /src/base/abci/abcPart.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c -o src/base/abci/abcPart.o
-> ABC: `` Compiling: /src/base/abci/abcPrint.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c -o src/base/abci/abcPrint.o
-> ABC: `` Compiling: /src/base/abci/abcProve.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c -o src/base/abci/abcProve.o
-> ABC: `` Compiling: /src/base/abci/abcQbf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c -o src/base/abci/abcQbf.o
-> ABC: `` Compiling: /src/base/abci/abcQuant.c
-> ABC: `` Compiling: /src/base/abci/abcRec3.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c -o src/base/abci/abcQuant.o
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c -o src/base/abci/abcRec3.o
-> ABC: `` Compiling: /src/base/abci/abcReconv.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c -o src/base/abci/abcReconv.o
-> ABC: `` Compiling: /src/base/abci/abcReach.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c -o src/base/abci/abcReach.o
-> ABC: `` Compiling: /src/base/abci/abcRefactor.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c -o src/base/abci/abcRefactor.o
-> ABC: `` Compiling: /src/base/abci/abcRenode.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c -o src/base/abci/abcRenode.o
-> ABC: `` Compiling: /src/base/abci/abcReorder.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c -o src/base/abci/abcReorder.o
-> ABC: `` Compiling: /src/base/abci/abcRestruct.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c -o src/base/abci/abcRestruct.o
-> ABC: `` Compiling: /src/base/abci/abcResub.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcResub.c -o src/base/abci/abcResub.o
-> ABC: `` Compiling: /src/base/abci/abcRewrite.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRewrite.c -o src/base/abci/abcRewrite.o
-> ABC: `` Compiling: /src/base/abci/abcRpo.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c -o src/base/abci/abcRpo.o
-> ABC: `` Compiling: /src/base/abci/abcRr.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c -o src/base/abci/abcRr.o
-> ABC: `` Compiling: /src/base/abci/abcRunGen.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c -o src/base/abci/abcRunGen.o
-> ABC: `` Compiling: /src/base/abci/abcSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c -o src/base/abci/abcSat.o
src/base/abci/abc.c: In function ‘abc::Abc_CommandSymFun(abc::Abc_Frame_t_*, int, char**)’:
src/base/abci/abc.c:23908:36: warning: ‘%s’ directive output between 0 and 2147483646 bytes may cause result to exceed ‘INT_MAX’ [-Wformat-overflow=]
23908 |     sprintf( pCommand, "read_truth %s", pTruth );
      |                                    ^~
-> ABC: `` Compiling: /src/base/abci/abcSaucy.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c -o src/base/abci/abcSaucy.o
-> ABC: `` Compiling: /src/base/abci/abcScorr.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c -o src/base/abci/abcScorr.o
-> ABC: `` Compiling: /src/base/abci/abcSense.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSense.c -o src/base/abci/abcSense.o
-> ABC: `` Compiling: /src/base/abci/abcSpeedup.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c -o src/base/abci/abcSpeedup.o
-> ABC: `` Compiling: /src/base/abci/abcStrash.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c -o src/base/abci/abcStrash.o
-> ABC: `` Compiling: /src/base/abci/abcSweep.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c -o src/base/abci/abcSweep.o
-> ABC: `` Compiling: /src/base/abci/abcSymm.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c -o src/base/abci/abcSymm.o
-> ABC: `` Compiling: /src/base/abci/abcTim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c -o src/base/abci/abcTim.o
-> ABC: `` Compiling: /src/base/abci/abcTiming.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c -o src/base/abci/abcTiming.o
-> ABC: `` Compiling: /src/base/abci/abcUnate.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c -o src/base/abci/abcUnate.o
-> ABC: `` Compiling: /src/base/abci/abcUnreach.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c -o src/base/abci/abcUnreach.o
-> ABC: `` Compiling: /src/base/abci/abcVerify.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c -o src/base/abci/abcVerify.o
-> ABC: `` Compiling: /src/base/abci/abcXsim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c -o src/base/abci/abcXsim.o
-> ABC: `` Compiling: /src/base/cmd/cmd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c -o src/base/cmd/cmd.o
-> ABC: `` Compiling: /src/base/cmd/cmdAlias.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c -o src/base/cmd/cmdAlias.o
-> ABC: `` Compiling: /src/base/cmd/cmdApi.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c -o src/base/cmd/cmdApi.o
-> ABC: `` Compiling: /src/base/cmd/cmdAuto.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c -o src/base/cmd/cmdAuto.o
-> ABC: `` Compiling: /src/base/cmd/cmdFlag.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c -o src/base/cmd/cmdFlag.o
-> ABC: `` Compiling: /src/base/cmd/cmdHist.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c -o src/base/cmd/cmdHist.o
-> ABC: `` Compiling: /src/base/cmd/cmdLoad.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c -o src/base/cmd/cmdLoad.o
-> ABC: `` Compiling: /src/base/cmd/cmdPlugin.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c -o src/base/cmd/cmdPlugin.o
-> ABC: `` Compiling: /src/base/cmd/cmdStarter.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c -o src/base/cmd/cmdStarter.o
src/base/abci/abcSaucy.c: In function ‘abc::saucy_alloc(abc::Abc_Ntk_t_*)’:
src/base/abci/abcSaucy.c:2654:37: warning: ‘*_146’ may be used uninitialized [-Wmaybe-uninitialized]
 2654 |         && s->clist && s->nextnon[-1] && s->prevnon
      |                        ~~~~~~~~~~~~~^
-> ABC: `` Compiling: /src/base/cmd/cmdUtils.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c -o src/base/cmd/cmdUtils.o
-> ABC: `` Compiling: /src/base/io/io.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c -o src/base/io/io.o
-> ABC: `` Compiling: /src/base/io/ioJson.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c -o src/base/io/ioJson.o
-> ABC: `` Compiling: /src/base/io/ioReadAiger.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c -o src/base/io/ioReadAiger.o
-> ABC: `` Compiling: /src/base/io/ioReadBaf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c -o src/base/io/ioReadBaf.o
-> ABC: `` Compiling: /src/base/io/ioReadBblif.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c -o src/base/io/ioReadBblif.o
-> ABC: `` Compiling: /src/base/io/ioReadBench.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c -o src/base/io/ioReadBench.o
-> ABC: `` Compiling: /src/base/io/ioReadBlif.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c -o src/base/io/ioReadBlif.o
-> ABC: `` Compiling: /src/base/io/ioReadBlifAig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c -o src/base/io/ioReadBlifAig.o
-> ABC: `` Compiling: /src/base/io/ioReadBlifMv.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c -o src/base/io/ioReadBlifMv.o
-> ABC: `` Compiling: /src/base/io/ioReadDsd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c -o src/base/io/ioReadDsd.o
-> ABC: `` Compiling: /src/base/io/ioReadEdif.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c -o src/base/io/ioReadEdif.o
-> ABC: `` Compiling: /src/base/io/ioReadEqn.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c -o src/base/io/ioReadEqn.o
-> ABC: `` Compiling: /src/base/io/ioReadPla.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c -o src/base/io/ioReadPla.o
-> ABC: `` Compiling: /src/base/io/ioReadPlaMo.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c -o src/base/io/ioReadPlaMo.o
-> ABC: `` Compiling: /src/base/io/ioReadVerilog.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c -o src/base/io/ioReadVerilog.o
-> ABC: `` Compiling: /src/base/io/ioUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c -o src/base/io/ioUtil.o
-> ABC: `` Compiling: /src/base/io/ioWriteAiger.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c -o src/base/io/ioWriteAiger.o
-> ABC: `` Compiling: /src/base/io/ioWriteBaf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c -o src/base/io/ioWriteBaf.o
-> ABC: `` Compiling: /src/base/io/ioWriteBblif.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c -o src/base/io/ioWriteBblif.o
-> ABC: `` Compiling: /src/base/io/ioWriteBench.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c -o src/base/io/ioWriteBench.o
-> ABC: `` Compiling: /src/base/io/ioWriteBlif.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c -o src/base/io/ioWriteBlif.o
-> ABC: `` Compiling: /src/base/io/ioWriteBlifMv.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c -o src/base/io/ioWriteBlifMv.o
-> ABC: `` Compiling: /src/base/io/ioWriteBook.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c -o src/base/io/ioWriteBook.o
-> ABC: `` Compiling: /src/base/io/ioWriteCnf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c -o src/base/io/ioWriteCnf.o
-> ABC: `` Compiling: /src/base/io/ioWriteDot.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c -o src/base/io/ioWriteDot.o
-> ABC: `` Compiling: /src/base/io/ioWriteEqn.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c -o src/base/io/ioWriteEqn.o
-> ABC: `` Compiling: /src/base/io/ioWriteEdgelist.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEdgelist.c -o src/base/io/ioWriteEdgelist.o
-> ABC: `` Compiling: /src/base/io/ioWriteGml.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c -o src/base/io/ioWriteGml.o
-> ABC: `` Compiling: /src/base/io/ioWriteList.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c -o src/base/io/ioWriteList.o
-> ABC: `` Compiling: /src/base/io/ioWritePla.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c -o src/base/io/ioWritePla.o
-> ABC: `` Compiling: /src/base/io/ioWriteVerilog.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c -o src/base/io/ioWriteVerilog.o
-> ABC: `` Compiling: /src/base/io/ioWriteSmv.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c -o src/base/io/ioWriteSmv.o
-> ABC: `` Compiling: /src/base/main/main.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c -o src/base/main/main.o
-> ABC: `` Compiling: /src/base/main/mainFrame.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c -o src/base/main/mainFrame.o
-> ABC: `` Compiling: /src/base/main/mainInit.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c -o src/base/main/mainInit.o
-> ABC: `` Compiling: /src/base/main/mainLib.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c -o src/base/main/mainLib.o
-> ABC: `` Compiling: /src/base/main/mainReal.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c -o src/base/main/mainReal.o
-> ABC: `` Compiling: /src/base/main/libSupport.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c -o src/base/main/libSupport.o
-> ABC: `` Compiling: /src/base/main/mainUtils.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c -o src/base/main/mainUtils.o
-> ABC: `` Compiling: /src/base/exor/exor.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c -o src/base/exor/exor.o
-> ABC: `` Compiling: /src/base/exor/exorBits.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c -o src/base/exor/exorBits.o
-> ABC: `` Compiling: /src/base/exor/exorCubes.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c -o src/base/exor/exorCubes.o
-> ABC: `` Compiling: /src/base/exor/exorLink.c
-> ABC: `` Compiling: /src/base/exor/exorList.c
-> ABC: `` Compiling: /src/base/exor/exorUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c -o src/base/exor/exorLink.o
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c -o src/base/exor/exorList.o
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c -o src/base/exor/exorUtil.o
-> ABC: `` Compiling: /src/base/ver/verCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c -o src/base/ver/verCore.o
-> ABC: `` Compiling: /src/base/ver/verFormula.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c -o src/base/ver/verFormula.o
-> ABC: `` Compiling: /src/base/ver/verParse.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c -o src/base/ver/verParse.o
-> ABC: `` Compiling: /src/base/ver/verStream.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c -o src/base/ver/verStream.o
-> ABC: `` Compiling: /src/base/wlc/wlcAbs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c -o src/base/wlc/wlcAbs.o
-> ABC: `` Compiling: /src/base/wlc/wlcAbs2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c -o src/base/wlc/wlcAbs2.o
-> ABC: `` Compiling: /src/base/wlc/wlcAbc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c -o src/base/wlc/wlcAbc.o
In file included from /usr/include/stdio.h:906,
                 from src/base/exor/exor.h:44,
                 from src/base/exor/exorUtil.c:45:
In function ‘fprintf’,
    inlined from ‘abc::WriteResultIntoFile(char*)’ at src/base/exor/exorUtil.c:192:16:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:79:24: warning: argument 1 null where non-null expected [-Wnonnull]
   79 |   return __fprintf_chk (__stream, __USE_FORTIFY_LEVEL - 1, __fmt,
      |          ~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   80 |                         __va_arg_pack ());
      |                         ~~~~~~~~~~~~~~~~~
In file included from /usr/include/stdio.h:890:
/usr/include/x86_64-linux-gnu/bits/stdio2-decl.h: In function ‘abc::WriteResultIntoFile(char*)’:
/usr/include/x86_64-linux-gnu/bits/stdio2-decl.h:49:12: note: in a call to function ‘__fprintf_chk’ declared ‘nonnull’
   49 | extern int __fprintf_chk (FILE *__restrict __stream, int __flag,
      |            ^~~~~~~~~~~~~
-> ABC: `` Compiling: /src/base/wlc/wlcPth.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c -o src/base/wlc/wlcPth.o
-> ABC: `` Compiling: /src/base/wlc/wlcBlast.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c -o src/base/wlc/wlcBlast.o
-> ABC: `` Compiling: /src/base/wlc/wlcCom.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c -o src/base/wlc/wlcCom.o
-> ABC: `` Compiling: /src/base/wlc/wlcGraft.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c -o src/base/wlc/wlcGraft.o
-> ABC: `` Compiling: /src/base/wlc/wlcJson.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c -o src/base/wlc/wlcJson.o
-> ABC: `` Compiling: /src/base/wlc/wlcMem.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c -o src/base/wlc/wlcMem.o
-> ABC: `` Compiling: /src/base/wlc/wlcNdr.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c -o src/base/wlc/wlcNdr.o
-> ABC: `` Compiling: /src/base/wlc/wlcNtk.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c -o src/base/wlc/wlcNtk.o
-> ABC: `` Compiling: /src/base/wlc/wlcReadSmt.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadSmt.c -o src/base/wlc/wlcReadSmt.o
-> ABC: `` Compiling: /src/base/wlc/wlcReadVer.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c -o src/base/wlc/wlcReadVer.o
-> ABC: `` Compiling: /src/base/wlc/wlcSim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c -o src/base/wlc/wlcSim.o
-> ABC: `` Compiling: /src/base/wlc/wlcShow.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c -o src/base/wlc/wlcShow.o
-> ABC: `` Compiling: /src/base/wlc/wlcStdin.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c -o src/base/wlc/wlcStdin.o
-> ABC: `` Compiling: /src/base/wlc/wlcUif.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c -o src/base/wlc/wlcUif.o
-> ABC: `` Compiling: /src/base/wlc/wlcWin.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c -o src/base/wlc/wlcWin.o
-> ABC: `` Compiling: /src/base/wlc/wlcWriteVer.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c -o src/base/wlc/wlcWriteVer.o
-> ABC: `` Compiling: /src/base/wln/wln.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c -o src/base/wln/wln.o
-> ABC: `` Compiling: /src/base/wln/wlnBlast.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c -o src/base/wln/wlnBlast.o
-> ABC: `` Compiling: /src/base/wln/wlnCom.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c -o src/base/wln/wlnCom.o
-> ABC: `` Compiling: /src/base/wln/wlnGuide.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnGuide.c -o src/base/wln/wlnGuide.o
-> ABC: `` Compiling: /src/base/wln/wlnMem.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c -o src/base/wln/wlnMem.o
In file included from src/base/wlc/wlc.h:34,
                 from src/base/wlc/wlcReadVer.c:21:
In function ‘abc::Abc_TtSetHex(unsigned long*, int, int)’,
    inlined from ‘abc::Abc_TtReadHexNumber(unsigned long*, char*)’ at ./src/misc/util/utilTruth.h:1536:21,
    inlined from ‘abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*)’ at src/base/wlc/wlcReadVer.c:1001:40:
./src/misc/util/utilTruth.h:176:75: warning: array subscript ‘word[0]’ is partly outside array bounds of ‘int[1]’ [-Warray-bounds=]
  176 | static inline void    Abc_TtSetHex( word * p, int k, int d )      { p[k>>4] |= (((word)d)<<((k<<2) & 63));              }
      |                                                                     ~~~~~~^
src/base/wlc/wlcReadVer.c: In function ‘abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*)’:
src/base/wlc/wlcReadVer.c:985:27: note: object ‘Value’ of size 4
  985 |                 int v, b, Value, nBits, nInts;
      |                           ^~~~~
In function ‘abc::Abc_TtSetHex(unsigned long*, int, int)’,
    inlined from ‘abc::Abc_TtReadHexNumber(unsigned long*, char*)’ at ./src/misc/util/utilTruth.h:1536:21,
    inlined from ‘abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*)’ at src/base/wlc/wlcReadVer.c:1001:40:
./src/misc/util/utilTruth.h:176:77: warning: array subscript ‘word[0]’ is partly outside array bounds of ‘int[1]’ [-Warray-bounds=]
  176 | static inline void    Abc_TtSetHex( word * p, int k, int d )      { p[k>>4] |= (((word)d)<<((k<<2) & 63));              }
      |                                                                     ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
src/base/wlc/wlcReadVer.c: In function ‘abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*)’:
src/base/wlc/wlcReadVer.c:985:27: note: object ‘Value’ of size 4
  985 |                 int v, b, Value, nBits, nInts;
      |                           ^~~~~
-> ABC: `` Compiling: /src/base/wln/wlnNdr.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c -o src/base/wln/wlnNdr.o
-> ABC: `` Compiling: /src/base/wln/wlnNtk.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c -o src/base/wln/wlnNtk.o
-> ABC: `` Compiling: /src/base/wln/wlnObj.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c -o src/base/wln/wlnObj.o
-> ABC: `` Compiling: /src/base/wln/wlnRead.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRead.c -o src/base/wln/wlnRead.o
-> ABC: `` Compiling: /src/base/wln/wlnRetime.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c -o src/base/wln/wlnRetime.o
-> ABC: `` Compiling: /src/base/wln/wlnRtl.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRtl.c -o src/base/wln/wlnRtl.o
-> ABC: `` Compiling: /src/base/wln/wlnWlc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c -o src/base/wln/wlnWlc.o
-> ABC: `` Compiling: /src/base/wln/wlnWriteVer.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c -o src/base/wln/wlnWriteVer.o
-> ABC: `` Compiling: /src/base/acb/acbAbc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c -o src/base/acb/acbAbc.o
-> ABC: `` Compiling: /src/base/acb/acbAig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c -o src/base/acb/acbAig.o
-> ABC: `` Compiling: /src/base/acb/acbCom.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c -o src/base/acb/acbCom.o
-> ABC: `` Compiling: /src/base/acb/acbFunc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c -o src/base/acb/acbFunc.o
-> ABC: `` Compiling: /src/base/acb/acbMfs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c -o src/base/acb/acbMfs.o
In file included from src/base/wln/wlnWriteVer.c:21:
In function ‘abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)’,
    inlined from ‘abc::Wln_ObjFanin2(abc::Wln_Ntk_t_*, int)’ at src/base/wln/wln.h:115:103,
    inlined from ‘abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)’ at src/base/wln/wlnWriteVer.c:355:24:
src/base/wln/wln.h:111:169: warning: array subscript 2 is above array bounds of ‘int[2]’ [-Warray-bounds=]
  111 | static inline int          Wln_ObjFanin( Wln_Ntk_t * p, int i, int f )           { return Wln_ObjFaninNum(p, i) > 2 ? p->vFanins[i].pArray[0][f] : p->vFanins[i].Array[f]; }
      |                                                                                                                                                    ~~~~~~~~~~~~~~~~~~~~~^
src/base/wln/wln.h: In function ‘abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)’:
src/base/wln/wln.h:51:28: note: while referencing ‘Array’
   51 |     union { int            Array[2];
      |                            ^~~~~
In function ‘abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)’,
    inlined from ‘abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)’ at src/base/wln/wlnWriteVer.c:306:20:
src/base/wln/wln.h:111:169: warning: array subscript 3 is above array bounds of ‘int[2]’ [-Warray-bounds=]
  111 | static inline int          Wln_ObjFanin( Wln_Ntk_t * p, int i, int f )           { return Wln_ObjFaninNum(p, i) > 2 ? p->vFanins[i].pArray[0][f] : p->vFanins[i].Array[f]; }
      |                                                                                                                                                    ~~~~~~~~~~~~~~~~~~~~~^
src/base/wln/wln.h: In function ‘abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)’:
src/base/wln/wln.h:51:28: note: while referencing ‘Array’
   51 |     union { int            Array[2];
      |                            ^~~~~
In function ‘abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)’,
    inlined from ‘abc::Wln_ObjFanin2(abc::Wln_Ntk_t_*, int)’ at src/base/wln/wln.h:115:103,
    inlined from ‘abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)’ at src/base/wln/wlnWriteVer.c:306:20:
src/base/wln/wln.h:111:169: warning: array subscript 2 is above array bounds of ‘int[2]’ [-Warray-bounds=]
  111 | static inline int          Wln_ObjFanin( Wln_Ntk_t * p, int i, int f )           { return Wln_ObjFaninNum(p, i) > 2 ? p->vFanins[i].pArray[0][f] : p->vFanins[i].Array[f]; }
      |                                                                                                                                                    ~~~~~~~~~~~~~~~~~~~~~^
src/base/wln/wln.h: In function ‘abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)’:
src/base/wln/wln.h:51:28: note: while referencing ‘Array’
   51 |     union { int            Array[2];
      |                            ^~~~~
In function ‘abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)’,
    inlined from ‘abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)’ at src/base/wln/wlnWriteVer.c:306:20:
src/base/wln/wln.h:111:169: warning: array subscript 3 is above array bounds of ‘int[2]’ [-Warray-bounds=]
  111 | static inline int          Wln_ObjFanin( Wln_Ntk_t * p, int i, int f )           { return Wln_ObjFaninNum(p, i) > 2 ? p->vFanins[i].pArray[0][f] : p->vFanins[i].Array[f]; }
      |                                                                                                                                                    ~~~~~~~~~~~~~~~~~~~~~^
src/base/wln/wln.h: In function ‘abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)’:
src/base/wln/wln.h:51:28: note: while referencing ‘Array’
   51 |     union { int            Array[2];
      |                            ^~~~~
In function ‘abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)’,
    inlined from ‘abc::Wln_ObjFanin2(abc::Wln_Ntk_t_*, int)’ at src/base/wln/wln.h:115:103,
    inlined from ‘abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)’ at src/base/wln/wlnWriteVer.c:306:20:
src/base/wln/wln.h:111:169: warning: array subscript 2 is above array bounds of ‘int[2]’ [-Warray-bounds=]
  111 | static inline int          Wln_ObjFanin( Wln_Ntk_t * p, int i, int f )           { return Wln_ObjFaninNum(p, i) > 2 ? p->vFanins[i].pArray[0][f] : p->vFanins[i].Array[f]; }
      |                                                                                                                                                    ~~~~~~~~~~~~~~~~~~~~~^
src/base/wln/wln.h: In function ‘abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)’:
src/base/wln/wln.h:51:28: note: while referencing ‘Array’
   51 |     union { int            Array[2];
      |                            ^~~~~
-> ABC: `` Compiling: /src/base/acb/acbPush.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c -o src/base/acb/acbPush.o
-> ABC: `` Compiling: /src/base/acb/acbSets.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c -o src/base/acb/acbSets.o
-> ABC: `` Compiling: /src/base/acb/acbTest.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c -o src/base/acb/acbTest.o
-> ABC: `` Compiling: /src/base/acb/acbUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c -o src/base/acb/acbUtil.o
-> ABC: `` Compiling: /src/base/bac/bacBlast.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c -o src/base/bac/bacBlast.o
-> ABC: `` Compiling: /src/base/bac/bacBac.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c -o src/base/bac/bacBac.o
-> ABC: `` Compiling: /src/base/bac/bacCom.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c -o src/base/bac/bacCom.o
-> ABC: `` Compiling: /src/base/bac/bacLib.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c -o src/base/bac/bacLib.o
-> ABC: `` Compiling: /src/base/bac/bacNtk.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c -o src/base/bac/bacNtk.o
-> ABC: `` Compiling: /src/base/bac/bacPrsBuild.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsBuild.c -o src/base/bac/bacPrsBuild.o
-> ABC: `` Compiling: /src/base/bac/bacPrsTrans.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsTrans.c -o src/base/bac/bacPrsTrans.o
-> ABC: `` Compiling: /src/base/bac/bacPtr.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c -o src/base/bac/bacPtr.o
-> ABC: `` Compiling: /src/base/bac/bacPtrAbc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c -o src/base/bac/bacPtrAbc.o
-> ABC: `` Compiling: /src/base/bac/bacReadBlif.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c -o src/base/bac/bacReadBlif.o
-> ABC: `` Compiling: /src/base/bac/bacReadSmt.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c -o src/base/bac/bacReadSmt.o
-> ABC: `` Compiling: /src/base/bac/bacReadVer.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c -o src/base/bac/bacReadVer.o
-> ABC: `` Compiling: /src/base/bac/bacWriteBlif.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c -o src/base/bac/bacWriteBlif.o
-> ABC: `` Compiling: /src/base/bac/bacWriteSmt.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c -o src/base/bac/bacWriteSmt.o
-> ABC: `` Compiling: /src/base/bac/bacWriteVer.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c -o src/base/bac/bacWriteVer.o
-> ABC: `` Compiling: /src/base/cba/cbaBlast.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaBlast.c -o src/base/cba/cbaBlast.o
-> ABC: `` Compiling: /src/base/cba/cbaCba.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c -o src/base/cba/cbaCba.o
-> ABC: `` Compiling: /src/base/cba/cbaCom.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c -o src/base/cba/cbaCom.o
-> ABC: `` Compiling: /src/base/cba/cbaNtk.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c -o src/base/cba/cbaNtk.o
-> ABC: `` Compiling: /src/base/cba/cbaReadBlif.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c -o src/base/cba/cbaReadBlif.o
-> ABC: `` Compiling: /src/base/cba/cbaReadVer.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c -o src/base/cba/cbaReadVer.o
-> ABC: `` Compiling: /src/base/cba/cbaWriteBlif.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c -o src/base/cba/cbaWriteBlif.o
-> ABC: `` Compiling: /src/base/cba/cbaWriteVer.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c -o src/base/cba/cbaWriteVer.o
-> ABC: `` Compiling: /src/base/pla/plaCom.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c -o src/base/pla/plaCom.o
-> ABC: `` Compiling: /src/base/pla/plaHash.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c -o src/base/pla/plaHash.o
-> ABC: `` Compiling: /src/base/pla/plaMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c -o src/base/pla/plaMan.o
-> ABC: `` Compiling: /src/base/pla/plaMerge.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c -o src/base/pla/plaMerge.o
-> ABC: `` Compiling: /src/base/pla/plaSimple.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c -o src/base/pla/plaSimple.o
-> ABC: `` Compiling: /src/base/pla/plaRead.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaRead.c -o src/base/pla/plaRead.o
-> ABC: `` Compiling: /src/base/pla/plaWrite.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c -o src/base/pla/plaWrite.o
-> ABC: `` Compiling: /src/base/test/test.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c -o src/base/test/test.o
-> ABC: `` Compiling: /src/map/mapper/mapper.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c -o src/map/mapper/mapper.o
-> ABC: `` Compiling: /src/map/mapper/mapperCanon.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c -o src/map/mapper/mapperCanon.o
-> ABC: `` Compiling: /src/map/mapper/mapperCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c -o src/map/mapper/mapperCore.o
-> ABC: `` Compiling: /src/map/mapper/mapperCreate.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c -o src/map/mapper/mapperCreate.o
-> ABC: `` Compiling: /src/map/mapper/mapperCut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c -o src/map/mapper/mapperCut.o
-> ABC: `` Compiling: /src/map/mapper/mapperCutUtils.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCutUtils.c -o src/map/mapper/mapperCutUtils.o
-> ABC: `` Compiling: /src/map/mapper/mapperLib.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c -o src/map/mapper/mapperLib.o
-> ABC: `` Compiling: /src/map/mapper/mapperMatch.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c -o src/map/mapper/mapperMatch.o
-> ABC: `` Compiling: /src/map/mapper/mapperRefs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c -o src/map/mapper/mapperRefs.o
-> ABC: `` Compiling: /src/map/mapper/mapperSuper.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSuper.c -o src/map/mapper/mapperSuper.o
-> ABC: `` Compiling: /src/map/mapper/mapperSwitch.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSwitch.c -o src/map/mapper/mapperSwitch.o
-> ABC: `` Compiling: /src/map/mapper/mapperTable.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTable.c -o src/map/mapper/mapperTable.o
-> ABC: `` Compiling: /src/map/mapper/mapperTime.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c -o src/map/mapper/mapperTime.o
-> ABC: `` Compiling: /src/map/mapper/mapperTree.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c -o src/map/mapper/mapperTree.o
-> ABC: `` Compiling: /src/map/mapper/mapperTruth.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c -o src/map/mapper/mapperTruth.o
-> ABC: `` Compiling: /src/map/mapper/mapperUtils.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c -o src/map/mapper/mapperUtils.o
-> ABC: `` Compiling: /src/map/mapper/mapperVec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c -o src/map/mapper/mapperVec.o
-> ABC: `` Compiling: /src/map/mio/mio.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c -o src/map/mio/mio.o
-> ABC: `` Compiling: /src/map/mio/mioApi.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c -o src/map/mio/mioApi.o
-> ABC: `` Compiling: /src/map/mio/mioFunc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c -o src/map/mio/mioFunc.o
-> ABC: `` Compiling: /src/map/mio/mioParse.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c -o src/map/mio/mioParse.o
-> ABC: `` Compiling: /src/map/mio/mioRead.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c -o src/map/mio/mioRead.o
-> ABC: `` Compiling: /src/map/mio/mioSop.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c -o src/map/mio/mioSop.o
-> ABC: `` Compiling: /src/map/mio/mioUtils.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c -o src/map/mio/mioUtils.o
-> ABC: `` Compiling: /src/map/super/super.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c -o src/map/super/super.o
-> ABC: `` Compiling: /src/map/super/superAnd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c -o src/map/super/superAnd.o
-> ABC: `` Compiling: /src/map/super/superGate.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c -o src/map/super/superGate.o
-> ABC: `` Compiling: /src/map/if/ifCom.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c -o src/map/if/ifCom.o
-> ABC: `` Compiling: /src/map/if/ifCache.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c -o src/map/if/ifCache.o
-> ABC: `` Compiling: /src/map/if/ifCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c -o src/map/if/ifCore.o
-> ABC: `` Compiling: /src/map/if/ifCut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCut.c -o src/map/if/ifCut.o
-> ABC: `` Compiling: /src/map/if/ifData2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c -o src/map/if/ifData2.o
-> ABC: `` Compiling: /src/map/if/ifDec07.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c -o src/map/if/ifDec07.o
-> ABC: `` Compiling: /src/map/if/ifDec08.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c -o src/map/if/ifDec08.o
-> ABC: `` Compiling: /src/map/if/ifDec10.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c -o src/map/if/ifDec10.o
-> ABC: `` Compiling: /src/map/if/ifDec16.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c -o src/map/if/ifDec16.o
-> ABC: `` Compiling: /src/map/if/ifDec75.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c -o src/map/if/ifDec75.o
-> ABC: `` Compiling: /src/map/if/ifDelay.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c -o src/map/if/ifDelay.o
-> ABC: `` Compiling: /src/map/if/ifDsd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c -o src/map/if/ifDsd.o
-> ABC: `` Compiling: /src/map/if/ifLibBox.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c -o src/map/if/ifLibBox.o
-> ABC: `` Compiling: /src/map/if/ifLibLut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c -o src/map/if/ifLibLut.o
-> ABC: `` Compiling: /src/map/if/ifMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c -o src/map/if/ifMan.o
-> ABC: `` Compiling: /src/map/if/ifMap.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c -o src/map/if/ifMap.o
-> ABC: `` Compiling: /src/map/if/ifMatch2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c -o src/map/if/ifMatch2.o
-> ABC: `` Compiling: /src/map/if/ifReduce.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c -o src/map/if/ifReduce.o
-> ABC: `` Compiling: /src/map/if/ifSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c -o src/map/if/ifSat.o
-> ABC: `` Compiling: /src/map/if/ifSelect.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c -o src/map/if/ifSelect.o
-> ABC: `` Compiling: /src/map/if/ifSeq.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c -o src/map/if/ifSeq.o
-> ABC: `` Compiling: /src/map/if/ifTest.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c -o src/map/if/ifTest.o
-> ABC: `` Compiling: /src/map/if/ifTime.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c -o src/map/if/ifTime.o
-> ABC: `` Compiling: /src/map/if/ifTruth.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTruth.c -o src/map/if/ifTruth.o
-> ABC: `` Compiling: /src/map/if/ifTune.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c -o src/map/if/ifTune.o
-> ABC: `` Compiling: /src/map/if/ifUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c -o src/map/if/ifUtil.o
-> ABC: `` Compiling: /src/map/amap/amapCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c -o src/map/amap/amapCore.o
-> ABC: `` Compiling: /src/map/amap/amapGraph.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c -o src/map/amap/amapGraph.o
-> ABC: `` Compiling: /src/map/amap/amapLib.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLib.c -o src/map/amap/amapLib.o
-> ABC: `` Compiling: /src/map/amap/amapLiberty.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c -o src/map/amap/amapLiberty.o
-> ABC: `` Compiling: /src/map/amap/amapMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c -o src/map/amap/amapMan.o
-> ABC: `` Compiling: /src/map/amap/amapMatch.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c -o src/map/amap/amapMatch.o
-> ABC: `` Compiling: /src/map/amap/amapMerge.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c -o src/map/amap/amapMerge.o
-> ABC: `` Compiling: /src/map/amap/amapOutput.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c -o src/map/amap/amapOutput.o
-> ABC: `` Compiling: /src/map/amap/amapParse.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c -o src/map/amap/amapParse.o
-> ABC: `` Compiling: /src/map/amap/amapPerm.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapPerm.c -o src/map/amap/amapPerm.o
-> ABC: `` Compiling: /src/map/amap/amapRead.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c -o src/map/amap/amapRead.o
-> ABC: `` Compiling: /src/map/amap/amapRule.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRule.c -o src/map/amap/amapRule.o
-> ABC: `` Compiling: /src/map/amap/amapUniq.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapUniq.c -o src/map/amap/amapUniq.o
-> ABC: `` Compiling: /src/map/cov/covBuild.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c -o src/map/cov/covBuild.o
-> ABC: `` Compiling: /src/map/cov/covCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c -o src/map/cov/covCore.o
-> ABC: `` Compiling: /src/map/cov/covMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c -o src/map/cov/covMan.o
-> ABC: `` Compiling: /src/map/cov/covMinEsop.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c -o src/map/cov/covMinEsop.o
-> ABC: `` Compiling: /src/map/cov/covMinMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinMan.c -o src/map/cov/covMinMan.o
-> ABC: `` Compiling: /src/map/cov/covMinSop.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c -o src/map/cov/covMinSop.o
-> ABC: `` Compiling: /src/map/cov/covMinUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c -o src/map/cov/covMinUtil.o
-> ABC: `` Compiling: /src/map/scl/scl.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c -o src/map/scl/scl.o
-> ABC: `` Compiling: /src/map/scl/sclBuffer.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c -o src/map/scl/sclBuffer.o
-> ABC: `` Compiling: /src/map/scl/sclBufSize.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c -o src/map/scl/sclBufSize.o
-> ABC: `` Compiling: /src/map/scl/sclDnsize.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c -o src/map/scl/sclDnsize.o
-> ABC: `` Compiling: /src/map/scl/sclLiberty.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLiberty.c -o src/map/scl/sclLiberty.o
-> ABC: `` Compiling: /src/map/scl/sclLibScl.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c -o src/map/scl/sclLibScl.o
-> ABC: `` Compiling: /src/map/scl/sclLibUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c -o src/map/scl/sclLibUtil.o
-> ABC: `` Compiling: /src/map/scl/sclLoad.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c -o src/map/scl/sclLoad.o
-> ABC: `` Compiling: /src/map/scl/sclSize.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c -o src/map/scl/sclSize.o
-> ABC: `` Compiling: /src/map/scl/sclUpsize.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c -o src/map/scl/sclUpsize.o
-> ABC: `` Compiling: /src/map/scl/sclUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c -o src/map/scl/sclUtil.o
-> ABC: `` Compiling: /src/map/mpm/mpmAbc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c -o src/map/mpm/mpmAbc.o
-> ABC: `` Compiling: /src/map/mpm/mpmCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c -o src/map/mpm/mpmCore.o
-> ABC: `` Compiling: /src/map/mpm/mpmDsd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c -o src/map/mpm/mpmDsd.o
-> ABC: `` Compiling: /src/map/mpm/mpmGates.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c -o src/map/mpm/mpmGates.o
-> ABC: `` Compiling: /src/map/mpm/mpmLib.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c -o src/map/mpm/mpmLib.o
-> ABC: `` Compiling: /src/map/mpm/mpmMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c -o src/map/mpm/mpmMan.o
-> ABC: `` Compiling: /src/map/mpm/mpmMap.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c -o src/map/mpm/mpmMap.o
-> ABC: `` Compiling: /src/map/mpm/mpmMig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c -o src/map/mpm/mpmMig.o
-> ABC: `` Compiling: /src/map/mpm/mpmPre.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c -o src/map/mpm/mpmPre.o
-> ABC: `` Compiling: /src/map/mpm/mpmTruth.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c -o src/map/mpm/mpmTruth.o
-> ABC: `` Compiling: /src/map/mpm/mpmUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c -o src/map/mpm/mpmUtil.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilBitMatrix.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c -o src/misc/extra/extraUtilBitMatrix.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilCanon.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c -o src/misc/extra/extraUtilCanon.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilCfs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c -o src/misc/extra/extraUtilCfs.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilCube.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c -o src/misc/extra/extraUtilCube.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilDsd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c -o src/misc/extra/extraUtilDsd.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilEnum.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c -o src/misc/extra/extraUtilEnum.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilFile.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c -o src/misc/extra/extraUtilFile.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilGen.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c -o src/misc/extra/extraUtilGen.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilMacc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c -o src/misc/extra/extraUtilMacc.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilMaj.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c -o src/misc/extra/extraUtilMaj.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilMemory.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c -o src/misc/extra/extraUtilMemory.o
src/misc/extra/extraUtilFile.c: In function ‘abc::Extra_FileNameAppend(char*, char*)’:
src/misc/extra/extraUtilFile.c:150:25: warning: ‘%s’ directive writing up to 499 bytes into a region of size between 1 and 500 [-Wformat-overflow=]
  150 |     sprintf( Buffer, "%s%s", pBase, pSuffix );
      |                         ^~
In file included from /usr/include/stdio.h:906,
                 from src/misc/extra/extra.h:41,
                 from src/misc/extra/extraUtilFile.c:21:
In function ‘sprintf’,
    inlined from ‘abc::Extra_FileNameAppend(char*, char*)’ at src/misc/extra/extraUtilFile.c:150:12:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 1 and 999 bytes into a destination of size 500
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
-> ABC: `` Compiling: /src/misc/extra/extraUtilMisc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c -o src/misc/extra/extraUtilMisc.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilMult.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c -o src/misc/extra/extraUtilMult.o
src/misc/extra/extraUtilMemory.c: In function ‘abc::Extra_MmStepStart(int)’:
src/misc/extra/extraUtilMemory.c:508:32: warning: ‘MEM[(struct Extra_MmFixed_t * *)_3]’ may be used uninitialized [-Wmaybe-uninitialized]
  508 |         p->pMap[k] = p->pMems[0];
      |                      ~~~~~~~~~~^
-> ABC: `` Compiling: /src/misc/extra/extraUtilPath.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c -o src/misc/extra/extraUtilPath.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilPerm.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c -o src/misc/extra/extraUtilPerm.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilProgress.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c -o src/misc/extra/extraUtilProgress.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilReader.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c -o src/misc/extra/extraUtilReader.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilSupp.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c -o src/misc/extra/extraUtilSupp.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilTruth.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c -o src/misc/extra/extraUtilTruth.o
-> ABC: `` Compiling: /src/misc/extra/extraUtilUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c -o src/misc/extra/extraUtilUtil.o
-> ABC: `` Compiling: /src/misc/mvc/mvcApi.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c -o src/misc/mvc/mvcApi.o
-> ABC: `` Compiling: /src/misc/mvc/mvcCompare.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c -o src/misc/mvc/mvcCompare.o
src/misc/extra/extraUtilUtil.c: In function ‘int abc::Extra_UtilGetopt(int, char**, const char*)’:
src/misc/extra/extraUtilUtil.c:100:18: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
  100 |     register int c;
      |                  ^
src/misc/extra/extraUtilUtil.c:101:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
  101 |     register const char *place;
      |                          ^~~~~
-> ABC: `` Compiling: /src/misc/mvc/mvcContain.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c -o src/misc/mvc/mvcContain.o
-> ABC: `` Compiling: /src/misc/mvc/mvcCover.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c -o src/misc/mvc/mvcCover.o
-> ABC: `` Compiling: /src/misc/mvc/mvcCube.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c -o src/misc/mvc/mvcCube.o
-> ABC: `` Compiling: /src/misc/mvc/mvcDivide.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c -o src/misc/mvc/mvcDivide.o
-> ABC: `` Compiling: /src/misc/mvc/mvcDivisor.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c -o src/misc/mvc/mvcDivisor.o
-> ABC: `` Compiling: /src/misc/mvc/mvcList.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c -o src/misc/mvc/mvcList.o
-> ABC: `` Compiling: /src/misc/mvc/mvcLits.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c -o src/misc/mvc/mvcLits.o
-> ABC: `` Compiling: /src/misc/mvc/mvcMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c -o src/misc/mvc/mvcMan.o
-> ABC: `` Compiling: /src/misc/mvc/mvcOpAlg.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c -o src/misc/mvc/mvcOpAlg.o
-> ABC: `` Compiling: /src/misc/mvc/mvcOpBool.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c -o src/misc/mvc/mvcOpBool.o
-> ABC: `` Compiling: /src/misc/mvc/mvcPrint.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c -o src/misc/mvc/mvcPrint.o
-> ABC: `` Compiling: /src/misc/mvc/mvcSort.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c -o src/misc/mvc/mvcSort.o
-> ABC: `` Compiling: /src/misc/mvc/mvcUtils.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c -o src/misc/mvc/mvcUtils.o
-> ABC: `` Compiling: /src/misc/st/st.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c -o src/misc/st/st.o
-> ABC: `` Compiling: /src/misc/st/stmm.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c -o src/misc/st/stmm.o
-> ABC: `` Compiling: /src/misc/util/utilBridge.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c -o src/misc/util/utilBridge.o
-> ABC: `` Compiling: /src/misc/util/utilCex.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c -o src/misc/util/utilCex.o
-> ABC: `` Compiling: /src/misc/util/utilColor.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c -o src/misc/util/utilColor.o
-> ABC: `` Compiling: /src/misc/util/utilFile.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c -o src/misc/util/utilFile.o
-> ABC: `` Compiling: /src/misc/util/utilIsop.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c -o src/misc/util/utilIsop.o
-> ABC: `` Compiling: /src/misc/util/utilNam.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c -o src/misc/util/utilNam.o
-> ABC: `` Compiling: /src/misc/util/utilSignal.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c -o src/misc/util/utilSignal.o
-> ABC: `` Compiling: /src/misc/util/utilSort.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c -o src/misc/util/utilSort.o
-> ABC: `` Compiling: /src/misc/nm/nmApi.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c -o src/misc/nm/nmApi.o
-> ABC: `` Compiling: /src/misc/nm/nmTable.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c -o src/misc/nm/nmTable.o
In function ‘abc::Extra_NtkPrintBin(unsigned long*, int)’,
    inlined from ‘abc::Extra_NtkPowerTest()’ at src/misc/extra/extraUtilMisc.c:2568:26:
src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript ‘word[0]’ is partly outside array bounds of ‘int[1]’ [-Warray-bounds=]
 2556 |         printf( "%d", (int)((*pT >> i) & 1) );
      |                              ^~~
src/misc/extra/extraUtilMisc.c: In function ‘abc::Extra_NtkPowerTest()’:
src/misc/extra/extraUtilMisc.c:2560:12: note: object ‘j’ of size 4
 2560 |     int i, j, k, n = 4;
      |            ^
In function ‘abc::Extra_NtkPrintBin(unsigned long*, int)’,
    inlined from ‘abc::Extra_NtkPowerTest()’ at src/misc/extra/extraUtilMisc.c:2567:26:
src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript ‘word[0]’ is partly outside array bounds of ‘int[1]’ [-Warray-bounds=]
 2556 |         printf( "%d", (int)((*pT >> i) & 1) );
      |                              ^~~
src/misc/extra/extraUtilMisc.c: In function ‘abc::Extra_NtkPowerTest()’:
src/misc/extra/extraUtilMisc.c:2560:9: note: object ‘i’ of size 4
 2560 |     int i, j, k, n = 4;
      |         ^
-> ABC: `` Compiling: /src/misc/tim/timBox.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timBox.c -o src/misc/tim/timBox.o
-> ABC: `` Compiling: /src/misc/tim/timDump.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c -o src/misc/tim/timDump.o
-> ABC: `` Compiling: /src/misc/tim/timMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c -o src/misc/tim/timMan.o
-> ABC: `` Compiling: /src/misc/tim/timTime.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c -o src/misc/tim/timTime.o
-> ABC: `` Compiling: /src/misc/tim/timTrav.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c -o src/misc/tim/timTrav.o
-> ABC: `` Compiling: /src/misc/mem/mem.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c -o src/misc/mem/mem.o
-> ABC: `` Compiling: /src/misc/bar/bar.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c -o src/misc/bar/bar.o
-> ABC: `` Compiling: /src/misc/bbl/bblif.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c -o src/misc/bbl/bblif.o
src/misc/mem/mem.c: In function ‘abc::Mem_StepStart(int)’:
src/misc/mem/mem.c:506:32: warning: ‘MEM[(struct Mem_Fixed_t * *)_3]’ may be used uninitialized [-Wmaybe-uninitialized]
  506 |         p->pMap[k] = p->pMems[0];
      |                      ~~~~~~~~~~^
-> ABC: `` Compiling: /src/misc/parse/parseEqn.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c -o src/misc/parse/parseEqn.o
-> ABC: `` Compiling: /src/misc/parse/parseStack.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c -o src/misc/parse/parseStack.o
-> ABC: `` Compiling: /src/opt/cut/cutApi.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c -o src/opt/cut/cutApi.o
-> ABC: `` Compiling: /src/opt/cut/cutCut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c -o src/opt/cut/cutCut.o
-> ABC: `` Compiling: /src/opt/cut/cutMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c -o src/opt/cut/cutMan.o
-> ABC: `` Compiling: /src/opt/cut/cutMerge.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c -o src/opt/cut/cutMerge.o
-> ABC: `` Compiling: /src/opt/cut/cutNode.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c -o src/opt/cut/cutNode.o
-> ABC: `` Compiling: /src/opt/cut/cutOracle.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutOracle.c -o src/opt/cut/cutOracle.o
-> ABC: `` Compiling: /src/opt/cut/cutPre22.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutPre22.c -o src/opt/cut/cutPre22.o
-> ABC: `` Compiling: /src/opt/cut/cutSeq.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c -o src/opt/cut/cutSeq.o
-> ABC: `` Compiling: /src/opt/cut/cutTruth.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutTruth.c -o src/opt/cut/cutTruth.o
-> ABC: `` Compiling: /src/opt/fxu/fxu.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxu.c -o src/opt/fxu/fxu.o
-> ABC: `` Compiling: /src/opt/fxu/fxuCreate.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuCreate.c -o src/opt/fxu/fxuCreate.o
-> ABC: `` Compiling: /src/opt/fxu/fxuHeapD.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c -o src/opt/fxu/fxuHeapD.o
-> ABC: `` Compiling: /src/opt/fxu/fxuHeapS.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c -o src/opt/fxu/fxuHeapS.o
-> ABC: `` Compiling: /src/opt/fxu/fxuList.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c -o src/opt/fxu/fxuList.o
-> ABC: `` Compiling: /src/opt/fxu/fxuMatrix.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c -o src/opt/fxu/fxuMatrix.o
-> ABC: `` Compiling: /src/opt/fxu/fxuPair.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPair.c -o src/opt/fxu/fxuPair.o
-> ABC: `` Compiling: /src/opt/fxu/fxuPrint.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c -o src/opt/fxu/fxuPrint.o
-> ABC: `` Compiling: /src/opt/fxu/fxuReduce.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c -o src/opt/fxu/fxuReduce.o
-> ABC: `` Compiling: /src/opt/fxu/fxuSelect.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c -o src/opt/fxu/fxuSelect.o
-> ABC: `` Compiling: /src/opt/fxu/fxuSingle.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c -o src/opt/fxu/fxuSingle.o
-> ABC: `` Compiling: /src/opt/fxu/fxuUpdate.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c -o src/opt/fxu/fxuUpdate.o
-> ABC: `` Compiling: /src/opt/fxch/Fxch.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c -o src/opt/fxch/Fxch.o
-> ABC: `` Compiling: /src/opt/fxch/FxchDiv.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c -o src/opt/fxch/FxchDiv.o
-> ABC: `` Compiling: /src/opt/fxch/FxchMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c -o src/opt/fxch/FxchMan.o
-> ABC: `` Compiling: /src/opt/fxch/FxchSCHashTable.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c -o src/opt/fxch/FxchSCHashTable.o
-> ABC: `` Compiling: /src/opt/rwr/rwrDec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c -o src/opt/rwr/rwrDec.o
-> ABC: `` Compiling: /src/opt/rwr/rwrEva.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c -o src/opt/rwr/rwrEva.o
In file included from ./src/misc/vec/vec.h:29,
                 from ./src/base/abc/abc.h:34,
                 from src/opt/fxu/fxuReduce.c:19:
src/opt/fxu/fxuReduce.c: In function ‘abc::Fxu_PreprocessCubePairs(abc::FxuMatrix*, abc::Vec_Ptr_t_*, int, int)’:
./src/misc/util/abc_global.h:264:50: warning: argument 1 value ‘18446744073709551614’ exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=]
  264 | #define ABC_CALLOC(type, num)    ((type *) calloc((size_t)(num), sizeof(type)))
      |                                            ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from /usr/include/c++/13/cstdlib:79,
                 from /usr/include/c++/13/stdlib.h:36,
                 from ./src/base/abc/abc.h:30:
/usr/include/stdlib.h:556:14: note: in a call to allocation function ‘calloc’ declared here
  556 | extern void *calloc (size_t __nmemb, size_t __size)
      |              ^~~~~~
-> ABC: `` Compiling: /src/opt/rwr/rwrExp.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c -o src/opt/rwr/rwrExp.o
-> ABC: `` Compiling: /src/opt/rwr/rwrLib.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c -o src/opt/rwr/rwrLib.o
-> ABC: `` Compiling: /src/opt/rwr/rwrMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c -o src/opt/rwr/rwrMan.o
-> ABC: `` Compiling: /src/opt/rwr/rwrPrint.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c -o src/opt/rwr/rwrPrint.o
-> ABC: `` Compiling: /src/opt/rwr/rwrUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c -o src/opt/rwr/rwrUtil.o
-> ABC: `` Compiling: /src/opt/mfs/mfsCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c -o src/opt/mfs/mfsCore.o
-> ABC: `` Compiling: /src/opt/mfs/mfsDiv.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c -o src/opt/mfs/mfsDiv.o
-> ABC: `` Compiling: /src/opt/mfs/mfsInter.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c -o src/opt/mfs/mfsInter.o
-> ABC: `` Compiling: /src/opt/mfs/mfsMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c -o src/opt/mfs/mfsMan.o
-> ABC: `` Compiling: /src/opt/mfs/mfsResub.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c -o src/opt/mfs/mfsResub.o
-> ABC: `` Compiling: /src/opt/mfs/mfsSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c -o src/opt/mfs/mfsSat.o
-> ABC: `` Compiling: /src/opt/mfs/mfsStrash.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c -o src/opt/mfs/mfsStrash.o
-> ABC: `` Compiling: /src/opt/mfs/mfsWin.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c -o src/opt/mfs/mfsWin.o
-> ABC: `` Compiling: /src/opt/sim/simMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c -o src/opt/sim/simMan.o
-> ABC: `` Compiling: /src/opt/sim/simSeq.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c -o src/opt/sim/simSeq.o
-> ABC: `` Compiling: /src/opt/sim/simSupp.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c -o src/opt/sim/simSupp.o
-> ABC: `` Compiling: /src/opt/sim/simSwitch.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c -o src/opt/sim/simSwitch.o
-> ABC: `` Compiling: /src/opt/sim/simSym.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c -o src/opt/sim/simSym.o
-> ABC: `` Compiling: /src/opt/sim/simSymSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c -o src/opt/sim/simSymSat.o
gcc -o yosys  -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic kernel/version_2584903a060.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o  -lstdc++ -lm -lrt -lreadline -lffi  -ldl -lz -ltcl8.6 -ltclstub8.6  
-> ABC: `` Compiling: /src/opt/sim/simSymSim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c -o src/opt/sim/simSymSim.o
-> ABC: `` Compiling: /src/opt/sim/simSymStr.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c -o src/opt/sim/simSymStr.o
-> ABC: `` Compiling: /src/opt/sim/simUtils.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simUtils.c -o src/opt/sim/simUtils.o
-> ABC: `` Compiling: /src/opt/ret/retArea.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c -o src/opt/ret/retArea.o
-> ABC: `` Compiling: /src/opt/ret/retCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c -o src/opt/ret/retCore.o
-> ABC: `` Compiling: /src/opt/ret/retDelay.c
-> ABC: `` Compiling: /src/opt/ret/retFlow.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c -o src/opt/ret/retDelay.o
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c -o src/opt/ret/retFlow.o
-> ABC: `` Compiling: /src/opt/ret/retIncrem.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c -o src/opt/ret/retIncrem.o
-> ABC: `` Compiling: /src/opt/ret/retInit.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c -o src/opt/ret/retInit.o
-> ABC: `` Compiling: /src/opt/ret/retLvalue.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c -o src/opt/ret/retLvalue.o
-> ABC: `` Compiling: /src/opt/fret/fretMain.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c -o src/opt/fret/fretMain.o
-> ABC: `` Compiling: /src/opt/fret/fretFlow.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c -o src/opt/fret/fretFlow.o
-> ABC: `` Compiling: /src/opt/fret/fretInit.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c -o src/opt/fret/fretInit.o
-> ABC: `` Compiling: /src/opt/fret/fretTime.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c -o src/opt/fret/fretTime.o
-> ABC: `` Compiling: /src/opt/res/resCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c -o src/opt/res/resCore.o
-> ABC: `` Compiling: /src/opt/res/resDivs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c -o src/opt/res/resDivs.o
-> ABC: `` Compiling: /src/opt/res/resFilter.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c -o src/opt/res/resFilter.o
-> ABC: `` Compiling: /src/opt/res/resSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c -o src/opt/res/resSat.o
-> ABC: `` Compiling: /src/opt/res/resSim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c -o src/opt/res/resSim.o
-> ABC: `` Compiling: /src/opt/res/resStrash.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c -o src/opt/res/resStrash.o
-> ABC: `` Compiling: /src/opt/res/resWin.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c -o src/opt/res/resWin.o
-> ABC: `` Compiling: /src/opt/lpk/lpkCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c -o src/opt/lpk/lpkCore.o
-> ABC: `` Compiling: /src/opt/lpk/lpkAbcDec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c -o src/opt/lpk/lpkAbcDec.o
-> ABC: `` Compiling: /src/opt/lpk/lpkAbcMux.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c -o src/opt/lpk/lpkAbcMux.o
-> ABC: `` Compiling: /src/opt/lpk/lpkAbcDsd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c -o src/opt/lpk/lpkAbcDsd.o
-> ABC: `` Compiling: /src/opt/lpk/lpkAbcUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c -o src/opt/lpk/lpkAbcUtil.o
-> ABC: `` Compiling: /src/opt/lpk/lpkCut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCut.c -o src/opt/lpk/lpkCut.o
-> ABC: `` Compiling: /src/opt/lpk/lpkMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c -o src/opt/lpk/lpkMan.o
-> ABC: `` Compiling: /src/opt/lpk/lpkMap.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c -o src/opt/lpk/lpkMap.o
-> ABC: `` Compiling: /src/opt/lpk/lpkMulti.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c -o src/opt/lpk/lpkMulti.o
-> ABC: `` Compiling: /src/opt/lpk/lpkMux.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c -o src/opt/lpk/lpkMux.o
-> ABC: `` Compiling: /src/opt/lpk/lpkSets.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c -o src/opt/lpk/lpkSets.o
-> ABC: `` Compiling: /src/opt/nwk/nwkAig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c -o src/opt/nwk/nwkAig.o
-> ABC: `` Compiling: /src/opt/nwk/nwkCheck.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkCheck.c -o src/opt/nwk/nwkCheck.o
-> ABC: `` Compiling: /src/opt/nwk/nwkBidec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c -o src/opt/nwk/nwkBidec.o
-> ABC: `` Compiling: /src/opt/nwk/nwkDfs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkDfs.c -o src/opt/nwk/nwkDfs.o
-> ABC: `` Compiling: /src/opt/nwk/nwkFanio.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFanio.c -o src/opt/nwk/nwkFanio.o
frontends/rtlil/rtlil_parser.tab.cc:492:7: warning: type ‘union yyalloc’ violates the C++ One Definition Rule [-Wodr]
  492 | union yyalloc
      |       ^
frontends/verilog/verilog_parser.tab.cc:1093:7: note: a different type is defined in another translation unit
 1093 | union yyalloc
      |       ^
frontends/rtlil/rtlil_parser.tab.cc:494:14: note: the first difference of corresponding definitions is field ‘yyss_alloc’
  494 |   yy_state_t yyss_alloc;
      |              ^
frontends/verilog/verilog_parser.tab.cc:1095:14: note: a field of same name but different type is defined in another translation unit
 1095 |   yy_state_t yyss_alloc;
      |              ^
-> ABC: `` Compiling: /src/opt/nwk/nwkFlow.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFlow.c -o src/opt/nwk/nwkFlow.o
-> ABC: `` Compiling: /src/opt/nwk/nwkMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c -o src/opt/nwk/nwkMan.o
-> ABC: `` Compiling: /src/opt/nwk/nwkMap.c
-> ABC: `` Compiling: /src/opt/nwk/nwkMerge.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c -o src/opt/nwk/nwkMap.o
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c -o src/opt/nwk/nwkMerge.o
-> ABC: `` Compiling: /src/opt/nwk/nwkObj.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c -o src/opt/nwk/nwkObj.o
-> ABC: `` Compiling: /src/opt/nwk/nwkSpeedup.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkSpeedup.c -o src/opt/nwk/nwkSpeedup.o
-> ABC: `` Compiling: /src/opt/nwk/nwkStrash.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkStrash.c -o src/opt/nwk/nwkStrash.o
-> ABC: `` Compiling: /src/opt/nwk/nwkTiming.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkTiming.c -o src/opt/nwk/nwkTiming.o
-> ABC: `` Compiling: /src/opt/nwk/nwkUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c -o src/opt/nwk/nwkUtil.o
-> ABC: `` Compiling: /src/opt/rwt/rwtDec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c -o src/opt/rwt/rwtDec.o
-> ABC: `` Compiling: /src/opt/rwt/rwtMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c -o src/opt/rwt/rwtMan.o
-> ABC: `` Compiling: /src/opt/rwt/rwtUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c -o src/opt/rwt/rwtUtil.o
-> ABC: `` Compiling: /src/opt/cgt/cgtAig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c -o src/opt/cgt/cgtAig.o
-> ABC: `` Compiling: /src/opt/cgt/cgtCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c -o src/opt/cgt/cgtCore.o
-> ABC: `` Compiling: /src/opt/cgt/cgtDecide.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c -o src/opt/cgt/cgtDecide.o
-> ABC: `` Compiling: /src/opt/cgt/cgtMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c -o src/opt/cgt/cgtMan.o
-> ABC: `` Compiling: /src/opt/cgt/cgtSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c -o src/opt/cgt/cgtSat.o
-> ABC: `` Compiling: /src/opt/csw/cswCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c -o src/opt/csw/cswCore.o
-> ABC: `` Compiling: /src/opt/csw/cswCut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c -o src/opt/csw/cswCut.o
-> ABC: `` Compiling: /src/opt/csw/cswMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c -o src/opt/csw/cswMan.o
-> ABC: `` Compiling: /src/opt/csw/cswTable.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c -o src/opt/csw/cswTable.o
-> ABC: `` Compiling: /src/opt/dar/darBalance.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c -o src/opt/dar/darBalance.o
-> ABC: `` Compiling: /src/opt/dar/darCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c -o src/opt/dar/darCore.o
-> ABC: `` Compiling: /src/opt/dar/darCut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c -o src/opt/dar/darCut.o
-> ABC: `` Compiling: /src/opt/dar/darData.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c -o src/opt/dar/darData.o
-> ABC: `` Compiling: /src/opt/dar/darLib.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c -o src/opt/dar/darLib.o
-> ABC: `` Compiling: /src/opt/dar/darMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c -o src/opt/dar/darMan.o
-> ABC: `` Compiling: /src/opt/dar/darPrec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c -o src/opt/dar/darPrec.o
-> ABC: `` Compiling: /src/opt/dar/darRefact.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c -o src/opt/dar/darRefact.o
-> ABC: `` Compiling: /src/opt/dar/darScript.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c -o src/opt/dar/darScript.o
-> ABC: `` Compiling: /src/opt/dau/dauCanon.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c -o src/opt/dau/dauCanon.o
-> ABC: `` Compiling: /src/opt/dau/dauCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c -o src/opt/dau/dauCore.o
-> ABC: `` Compiling: /src/opt/dau/dauCount.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c -o src/opt/dau/dauCount.o
-> ABC: `` Compiling: /src/opt/dau/dauDivs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c -o src/opt/dau/dauDivs.o
-> ABC: `` Compiling: /src/opt/dau/dauDsd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c -o src/opt/dau/dauDsd.o
-> ABC: `` Compiling: /src/opt/dau/dauEnum.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c -o src/opt/dau/dauEnum.o
-> ABC: `` Compiling: /src/opt/dau/dauGia.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c -o src/opt/dau/dauGia.o
-> ABC: `` Compiling: /src/opt/dau/dauMerge.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauMerge.c -o src/opt/dau/dauMerge.o
-> ABC: `` Compiling: /src/opt/dau/dauNonDsd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c -o src/opt/dau/dauNonDsd.o
-> ABC: `` Compiling: /src/opt/dau/dauNpn.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c -o src/opt/dau/dauNpn.o
-> ABC: `` Compiling: /src/opt/dau/dauNpn2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c -o src/opt/dau/dauNpn2.o
-> ABC: `` Compiling: /src/opt/dau/dauTree.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c -o src/opt/dau/dauTree.o
-> ABC: `` Compiling: /src/opt/dsc/dsc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c -o src/opt/dsc/dsc.o
-> ABC: `` Compiling: /src/opt/sfm/sfmArea.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c -o src/opt/sfm/sfmArea.o
-> ABC: `` Compiling: /src/opt/sfm/sfmCnf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c -o src/opt/sfm/sfmCnf.o
-> ABC: `` Compiling: /src/opt/sfm/sfmCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c -o src/opt/sfm/sfmCore.o
-> ABC: `` Compiling: /src/opt/sfm/sfmDec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c -o src/opt/sfm/sfmDec.o
-> ABC: `` Compiling: /src/opt/sfm/sfmLib.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c -o src/opt/sfm/sfmLib.o
-> ABC: `` Compiling: /src/opt/sfm/sfmNtk.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c -o src/opt/sfm/sfmNtk.o
-> ABC: `` Compiling: /src/opt/sfm/sfmSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c -o src/opt/sfm/sfmSat.o
-> ABC: `` Compiling: /src/opt/sfm/sfmTim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c -o src/opt/sfm/sfmTim.o
-> ABC: `` Compiling: /src/opt/sfm/sfmMit.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c -o src/opt/sfm/sfmMit.o
-> ABC: `` Compiling: /src/opt/sfm/sfmWin.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c -o src/opt/sfm/sfmWin.o
-> ABC: `` Compiling: /src/opt/sbd/sbd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c -o src/opt/sbd/sbd.o
-> ABC: `` Compiling: /src/opt/sbd/sbdCnf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c -o src/opt/sbd/sbdCnf.o
In file included from ./src/misc/vec/vec.h:29,
                 from src/opt/dau/dauInt.h:34,
                 from src/opt/dau/dauNpn2.c:21:
In function ‘abc::Vec_VecAlloc(int)’,
    inlined from ‘abc::Vec_VecStart(int)’ at ./src/misc/vec/vecVec.h:172:21,
    inlined from ‘abc::Dtt_DumpLibrary(abc::Dtt_Man_t_*, char*)’ at src/opt/dau/dauNpn2.c:937:28:
./src/misc/util/abc_global.h:263:50: warning: argument 1 range [18446744056529682432, 18446744073709551608] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=]
  263 | #define ABC_ALLOC(type, num)     ((type *) malloc(sizeof(type) * (size_t)(num)))
      |                                            ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from /usr/include/c++/13/cstdlib:79,
                 from /usr/include/c++/13/stdlib.h:36,
                 from src/opt/dau/dauInt.h:30:
/usr/include/stdlib.h: In function ‘abc::Dtt_DumpLibrary(abc::Dtt_Man_t_*, char*)’:
/usr/include/stdlib.h:553:14: note: in a call to allocation function ‘malloc’ declared here
  553 | extern void *malloc (size_t __size) __THROW __attribute_malloc__
      |              ^~~~~~
src/opt/dau/dauTree.c: In function ‘abc::Dss_ManBooleanAnd(abc::Dss_Man_t_*, abc::Dss_Ent_t_*, int)’:
src/opt/dau/dauTree.c:1492:22: warning: array subscript i_40 is outside array bounds of ‘unsigned char[0:18446744073709551615]’ [-Warray-bounds=]
 1492 |         pFun->pFans[i] = (unsigned char)Abc_Lit2LitV( pMapDsd2Truth, pPermDsd[i] );
      |         ~~~~~~~~~~~~~^
src/opt/dau/dauTree.c:36:20: note: while referencing ‘pFans’
   36 |     unsigned char  pFans[0];       // fanins
      |                    ^~~~~
src/opt/dau/dauTree.c: In function ‘abc::Dss_ManSharedMap(abc::Dss_Man_t_*, int*, int*, int**, unsigned int)’:
src/opt/dau/dauTree.c:1528:48: warning: array subscript _22 is outside array bounds of ‘unsigned char[0:18446744073709551615]’ [-Warray-bounds=]
 1528 |                 pEnt->pShared[2*pEnt->nShared+0] = (unsigned char)i;
      |                 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^
src/opt/dau/dauTree.c:48:20: note: while referencing ‘pShared’
   48 |     unsigned char  pShared[0];     // shared literals
      |                    ^~~~~~~
src/opt/dau/dauTree.c:1529:48: warning: array subscript _27 is outside array bounds of ‘unsigned char[0:18446744073709551615]’ [-Warray-bounds=]
 1529 |                 pEnt->pShared[2*pEnt->nShared+1] = (unsigned char)Abc_LitNotCond( pMapGtoL[g], Abc_LitIsCompl(pFans[1][i]) );
      |                 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^
src/opt/dau/dauTree.c:48:20: note: while referencing ‘pShared’
   48 |     unsigned char  pShared[0];     // shared literals
      |                    ^~~~~~~
-> ABC: `` Compiling: /src/opt/sbd/sbdCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c -o src/opt/sbd/sbdCore.o
-> ABC: `` Compiling: /src/opt/sbd/sbdCut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c -o src/opt/sbd/sbdCut.o
-> ABC: `` Compiling: /src/opt/sbd/sbdCut2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c -o src/opt/sbd/sbdCut2.o
-> ABC: `` Compiling: /src/opt/sbd/sbdLut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c -o src/opt/sbd/sbdLut.o
-> ABC: `` Compiling: /src/opt/sbd/sbdPath.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdPath.c -o src/opt/sbd/sbdPath.o
-> ABC: `` Compiling: /src/opt/sbd/sbdSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c -o src/opt/sbd/sbdSat.o
-> ABC: `` Compiling: /src/opt/sbd/sbdWin.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c -o src/opt/sbd/sbdWin.o
-> ABC: `` Compiling: /src/sat/bsat/satMem.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c -o src/sat/bsat/satMem.o
frontends/rtlil/rtlil_parser.tab.cc:126: warning: type ‘yysymbol_kind_t’ violates the C++ One Definition Rule [-Wodr]
  126 | enum yysymbol_kind_t
      | 
frontends/verilog/verilog_parser.tab.cc:361: note: an enum with different value name is defined in another translation unit
  361 | enum yysymbol_kind_t
      | 
frontends/rtlil/rtlil_parser.tab.cc:132: note: name ‘YYSYMBOL_TOK_ID’ differs from name ‘YYSYMBOL_TOK_STRING’ defined in another translation unit
  132 |   YYSYMBOL_TOK_ID = 3,                     /* TOK_ID  */
      | 
frontends/verilog/verilog_parser.tab.cc:367: note: mismatching definition
  367 |   YYSYMBOL_TOK_STRING = 3,                 /* TOK_STRING  */
      | 
src/sat/bsat/satMem.c: In function ‘abc::Sat_MmStepStart(int)’:
src/sat/bsat/satMem.c:449:32: warning: ‘MEM[(struct Sat_MmFixed_t * *)_3]’ may be used uninitialized [-Wmaybe-uninitialized]
  449 |         p->pMap[k] = p->pMems[0];
      |                      ~~~~~~~~~~^
-> ABC: `` Compiling: /src/sat/bsat/satInter.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c -o src/sat/bsat/satInter.o
-> ABC: `` Compiling: /src/sat/bsat/satInterA.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c -o src/sat/bsat/satInterA.o
-> ABC: `` Compiling: /src/sat/bsat/satInterB.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c -o src/sat/bsat/satInterB.o
-> ABC: `` Compiling: /src/sat/bsat/satInterP.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c -o src/sat/bsat/satInterP.o
-> ABC: `` Compiling: /src/sat/bsat/satProof.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c -o src/sat/bsat/satProof.o
-> ABC: `` Compiling: /src/sat/bsat/satSolver.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c -o src/sat/bsat/satSolver.o
-> ABC: `` Compiling: /src/sat/bsat/satSolver2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c -o src/sat/bsat/satSolver2.o
-> ABC: `` Compiling: /src/sat/bsat/satSolver2i.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c -o src/sat/bsat/satSolver2i.o
-> ABC: `` Compiling: /src/sat/bsat/satSolver3.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c -o src/sat/bsat/satSolver3.o
-> ABC: `` Compiling: /src/sat/bsat/satStore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c -o src/sat/bsat/satStore.o
-> ABC: `` Compiling: /src/sat/bsat/satTrace.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c -o src/sat/bsat/satTrace.o
-> ABC: `` Compiling: /src/sat/bsat/satTruth.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c -o src/sat/bsat/satTruth.o
-> ABC: `` Compiling: /src/sat/bsat/satUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c -o src/sat/bsat/satUtil.o
-> ABC: `` Compiling: /src/sat/xsat/xsatSolver.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c -o src/sat/xsat/xsatSolver.o
-> ABC: `` Compiling: /src/sat/xsat/xsatSolverAPI.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c -o src/sat/xsat/xsatSolverAPI.o
-> ABC: `` Compiling: /src/sat/xsat/xsatCnfReader.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c -o src/sat/xsat/xsatCnfReader.o
-> ABC: `` Compiling: /src/sat/satoko/solver.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c -o src/sat/satoko/solver.o
-> ABC: `` Compiling: /src/sat/satoko/solver_api.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c -o src/sat/satoko/solver_api.o
-> ABC: `` Compiling: /src/sat/satoko/cnf_reader.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c -o src/sat/satoko/cnf_reader.o
-> ABC: `` Compiling: /src/sat/csat/csat_apis.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c -o src/sat/csat/csat_apis.o
-> ABC: `` Compiling: /src/sat/msat/msatActivity.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c -o src/sat/msat/msatActivity.o
-> ABC: `` Compiling: /src/sat/msat/msatClause.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c -o src/sat/msat/msatClause.o
-> ABC: `` Compiling: /src/sat/msat/msatClauseVec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClauseVec.c -o src/sat/msat/msatClauseVec.o
-> ABC: `` Compiling: /src/sat/msat/msatMem.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatMem.c -o src/sat/msat/msatMem.o
-> ABC: `` Compiling: /src/sat/msat/msatOrderH.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c -o src/sat/msat/msatOrderH.o
-> ABC: `` Compiling: /src/sat/msat/msatQueue.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c -o src/sat/msat/msatQueue.o
-> ABC: `` Compiling: /src/sat/msat/msatRead.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c -o src/sat/msat/msatRead.o
-> ABC: `` Compiling: /src/sat/msat/msatSolverApi.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c -o src/sat/msat/msatSolverApi.o
-> ABC: `` Compiling: /src/sat/msat/msatSolverCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c -o src/sat/msat/msatSolverCore.o
src/sat/msat/msatMem.c: In function ‘abc::Msat_MmStepStart(int)’:
src/sat/msat/msatMem.c:438:32: warning: ‘MEM[(struct Msat_MmFixed_t * *)_3]’ may be used uninitialized [-Wmaybe-uninitialized]
  438 |         p->pMap[k] = p->pMems[0];
      |                      ~~~~~~~~~~^
-> ABC: `` Compiling: /src/sat/msat/msatSolverIo.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c -o src/sat/msat/msatSolverIo.o
-> ABC: `` Compiling: /src/sat/msat/msatSolverSearch.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c -o src/sat/msat/msatSolverSearch.o
-> ABC: `` Compiling: /src/sat/msat/msatSort.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c -o src/sat/msat/msatSort.o
-> ABC: `` Compiling: /src/sat/msat/msatVec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c -o src/sat/msat/msatVec.o
-> ABC: `` Compiling: /src/sat/cnf/cnfCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c -o src/sat/cnf/cnfCore.o
-> ABC: `` Compiling: /src/sat/cnf/cnfCut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c -o src/sat/cnf/cnfCut.o
-> ABC: `` Compiling: /src/sat/cnf/cnfData.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c -o src/sat/cnf/cnfData.o
-> ABC: `` Compiling: /src/sat/cnf/cnfFast.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c -o src/sat/cnf/cnfFast.o
-> ABC: `` Compiling: /src/sat/cnf/cnfMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c -o src/sat/cnf/cnfMan.o
-> ABC: `` Compiling: /src/sat/cnf/cnfMap.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c -o src/sat/cnf/cnfMap.o
-> ABC: `` Compiling: /src/sat/cnf/cnfPost.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c -o src/sat/cnf/cnfPost.o
-> ABC: `` Compiling: /src/sat/cnf/cnfUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c -o src/sat/cnf/cnfUtil.o
-> ABC: `` Compiling: /src/sat/cnf/cnfWrite.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c -o src/sat/cnf/cnfWrite.o
-> ABC: `` Compiling: /src/sat/bmc/bmcBCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c -o src/sat/bmc/bmcBCore.o
-> ABC: `` Compiling: /src/sat/bmc/bmcBmc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c -o src/sat/bmc/bmcBmc.o
-> ABC: `` Compiling: /src/sat/bmc/bmcBmc2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c -o src/sat/bmc/bmcBmc2.o
-> ABC: `` Compiling: /src/sat/bmc/bmcBmc3.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c -o src/sat/bmc/bmcBmc3.o
-> ABC: `` Compiling: /src/sat/bmc/bmcBmcAnd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c -o src/sat/bmc/bmcBmcAnd.o
-> ABC: `` Compiling: /src/sat/bmc/bmcBmci.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c -o src/sat/bmc/bmcBmci.o
-> ABC: `` Compiling: /src/sat/bmc/bmcBmcG.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c -o src/sat/bmc/bmcBmcG.o
-> ABC: `` Compiling: /src/sat/bmc/bmcBmcS.c
-> ABC: `` Compiling: /src/sat/bmc/bmcCexCare.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c -o src/sat/bmc/bmcBmcS.o
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c -o src/sat/bmc/bmcCexCare.o
-> ABC: `` Compiling: /src/sat/bmc/bmcCexCut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c -o src/sat/bmc/bmcCexCut.o
-> ABC: `` Compiling: /src/sat/bmc/bmcCexDepth.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c -o src/sat/bmc/bmcCexDepth.o
-> ABC: `` Compiling: /src/sat/bmc/bmcCexMin1.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c -o src/sat/bmc/bmcCexMin1.o
-> ABC: `` Compiling: /src/sat/bmc/bmcCexMin2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin2.c -o src/sat/bmc/bmcCexMin2.o
-> ABC: `` Compiling: /src/sat/bmc/bmcCexTools.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c -o src/sat/bmc/bmcCexTools.o
-> ABC: `` Compiling: /src/sat/bmc/bmcChain.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c -o src/sat/bmc/bmcChain.o
-> ABC: `` Compiling: /src/sat/bmc/bmcClp.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c -o src/sat/bmc/bmcClp.o
-> ABC: `` Compiling: /src/sat/bmc/bmcEco.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c -o src/sat/bmc/bmcEco.o
-> ABC: `` Compiling: /src/sat/bmc/bmcExpand.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcExpand.c -o src/sat/bmc/bmcExpand.o
-> ABC: `` Compiling: /src/sat/bmc/bmcFault.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFault.c -o src/sat/bmc/bmcFault.o
-> ABC: `` Compiling: /src/sat/bmc/bmcFx.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFx.c -o src/sat/bmc/bmcFx.o
-> ABC: `` Compiling: /src/sat/bmc/bmcGen.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcGen.c -o src/sat/bmc/bmcGen.o
-> ABC: `` Compiling: /src/sat/bmc/bmcICheck.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c -o src/sat/bmc/bmcICheck.o
-> ABC: `` Compiling: /src/sat/bmc/bmcInse.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c -o src/sat/bmc/bmcInse.o
-> ABC: `` Compiling: /src/sat/bmc/bmcLoad.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c -o src/sat/bmc/bmcLoad.o
-> ABC: `` Compiling: /src/sat/bmc/bmcMaj.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c -o src/sat/bmc/bmcMaj.o
-> ABC: `` Compiling: /src/sat/bmc/bmcMaj2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c -o src/sat/bmc/bmcMaj2.o
-> ABC: `` Compiling: /src/sat/bmc/bmcMaj3.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj3.c -o src/sat/bmc/bmcMaj3.o
-> ABC: `` Compiling: /src/sat/bmc/bmcMaxi.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c -o src/sat/bmc/bmcMaxi.o
-> ABC: `` Compiling: /src/sat/bmc/bmcMesh.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c -o src/sat/bmc/bmcMesh.o
-> ABC: `` Compiling: /src/sat/bmc/bmcMesh2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c -o src/sat/bmc/bmcMesh2.o
-> ABC: `` Compiling: /src/sat/bmc/bmcMulti.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c -o src/sat/bmc/bmcMulti.o
-> ABC: `` Compiling: /src/sat/bmc/bmcUnroll.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c -o src/sat/bmc/bmcUnroll.o
-> ABC: `` Compiling: /src/bool/bdc/bdcCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c -o src/bool/bdc/bdcCore.o
-> ABC: `` Compiling: /src/bool/bdc/bdcDec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c -o src/bool/bdc/bdcDec.o
In file included from ./src/misc/vec/vec.h:29,
                 from ./src/aig/aig/aig.h:34,
                 from ./src/aig/saig/saig.h:29,
                 from src/sat/bmc/bmc.h:29,
                 from src/sat/bmc/bmcICheck.c:21:
In function ‘abc::Vec_IntAlloc(int)’,
    inlined from ‘abc::Vec_IntStartNatural(int)’ at ./src/misc/vec/vecInt.h:188:21,
    inlined from ‘abc::Bmc_PerformISearchOne(abc::Gia_Man_t_*, int, int, int, int, int, abc::Vec_Int_t_*)’ at src/sat/bmc/bmcICheck.c:433:32:
./src/misc/util/abc_global.h:263:50: warning: argument 1 range [18446744065119617024, 18446744073709551612] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=]
  263 | #define ABC_ALLOC(type, num)     ((type *) malloc(sizeof(type) * (size_t)(num)))
      |                                            ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from /usr/include/c++/13/cstdlib:79,
                 from /usr/include/c++/13/stdlib.h:36,
                 from ./src/aig/aig/aig.h:30:
/usr/include/stdlib.h: In function ‘abc::Bmc_PerformISearchOne(abc::Gia_Man_t_*, int, int, int, int, int, abc::Vec_Int_t_*)’:
/usr/include/stdlib.h:553:14: note: in a call to allocation function ‘malloc’ declared here
  553 | extern void *malloc (size_t __size) __THROW __attribute_malloc__
      |              ^~~~~~
-> ABC: `` Compiling: /src/bool/bdc/bdcSpfd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c -o src/bool/bdc/bdcSpfd.o
-> ABC: `` Compiling: /src/bool/bdc/bdcTable.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c -o src/bool/bdc/bdcTable.o
-> ABC: `` Compiling: /src/bool/dec/decAbc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c -o src/bool/dec/decAbc.o
-> ABC: `` Compiling: /src/bool/dec/decFactor.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c -o src/bool/dec/decFactor.o
-> ABC: `` Compiling: /src/bool/dec/decMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c -o src/bool/dec/decMan.o
-> ABC: `` Compiling: /src/bool/dec/decPrint.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c -o src/bool/dec/decPrint.o
-> ABC: `` Compiling: /src/bool/dec/decUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c -o src/bool/dec/decUtil.o
-> ABC: `` Compiling: /src/bool/kit/kitAig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitAig.c -o src/bool/kit/kitAig.o
-> ABC: `` Compiling: /src/bool/kit/kitBdd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c -o src/bool/kit/kitBdd.o
-> ABC: `` Compiling: /src/bool/kit/kitCloud.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c -o src/bool/kit/kitCloud.o
-> ABC: `` Compiling: /src/bool/kit/cloud.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c -o src/bool/kit/cloud.o
-> ABC: `` Compiling: /src/bool/kit/kitDsd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c -o src/bool/kit/kitDsd.o
-> ABC: `` Compiling: /src/bool/kit/kitFactor.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c -o src/bool/kit/kitFactor.o
-> ABC: `` Compiling: /src/bool/kit/kitGraph.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c -o src/bool/kit/kitGraph.o
-> ABC: `` Compiling: /src/bool/kit/kitHop.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c -o src/bool/kit/kitHop.o
-> ABC: `` Compiling: /src/bool/kit/kitIsop.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c -o src/bool/kit/kitIsop.o
-> ABC: `` Compiling: /src/bool/kit/kitPla.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c -o src/bool/kit/kitPla.o
-> ABC: `` Compiling: /src/bool/kit/kitSop.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c -o src/bool/kit/kitSop.o
-> ABC: `` Compiling: /src/bool/kit/kitTruth.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c -o src/bool/kit/kitTruth.o
-> ABC: `` Compiling: /src/bool/lucky/lucky.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c -o src/bool/lucky/lucky.o
-> ABC: `` Compiling: /src/bool/lucky/luckyFast16.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c -o src/bool/lucky/luckyFast16.o
-> ABC: `` Compiling: /src/bool/lucky/luckyFast6.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c -o src/bool/lucky/luckyFast6.o
-> ABC: `` Compiling: /src/bool/lucky/luckyRead.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c -o src/bool/lucky/luckyRead.o
-> ABC: `` Compiling: /src/bool/lucky/luckySimple.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c -o src/bool/lucky/luckySimple.o
-> ABC: `` Compiling: /src/bool/lucky/luckySwapIJ.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c -o src/bool/lucky/luckySwapIJ.o
-> ABC: `` Compiling: /src/bool/lucky/luckySwap.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c -o src/bool/lucky/luckySwap.o
-> ABC: `` Compiling: /src/bool/rsb/rsbDec6.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c -o src/bool/rsb/rsbDec6.o
-> ABC: `` Compiling: /src/bool/rsb/rsbMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c -o src/bool/rsb/rsbMan.o
-> ABC: `` Compiling: /src/bool/rpo/rpo.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c -o src/bool/rpo/rpo.o
-> ABC: `` Compiling: /src/proof/pdr/pdrCnf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c -o src/proof/pdr/pdrCnf.o
-> ABC: `` Compiling: /src/proof/pdr/pdrCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c -o src/proof/pdr/pdrCore.o
-> ABC: `` Compiling: /src/proof/pdr/pdrIncr.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c -o src/proof/pdr/pdrIncr.o
-> ABC: `` Compiling: /src/proof/pdr/pdrInv.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c -o src/proof/pdr/pdrInv.o
-> ABC: `` Compiling: /src/proof/pdr/pdrMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c -o src/proof/pdr/pdrMan.o
-> ABC: `` Compiling: /src/proof/pdr/pdrSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c -o src/proof/pdr/pdrSat.o
-> ABC: `` Compiling: /src/proof/pdr/pdrTsim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c -o src/proof/pdr/pdrTsim.o
-> ABC: `` Compiling: /src/proof/pdr/pdrTsim2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c -o src/proof/pdr/pdrTsim2.o
-> ABC: `` Compiling: /src/proof/pdr/pdrTsim3.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim3.c -o src/proof/pdr/pdrTsim3.o
-> ABC: `` Compiling: /src/proof/pdr/pdrUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c -o src/proof/pdr/pdrUtil.o
-> ABC: `` Compiling: /src/proof/abs/absDup.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c -o src/proof/abs/absDup.o
In file included from src/bool/kit/kitDsd.c:21:
In function ‘abc::Kit_DsdLitSupport(abc::Kit_DsdNtk_t_*, int)’,
    inlined from ‘abc::Kit_DsdGetSupports(abc::Kit_DsdNtk_t_*)’ at src/bool/kit/kitDsd.c:1779:52:
src/bool/kit/kit.h:156:203: warning: ‘*_54’ may be used uninitialized [-Wmaybe-uninitialized]
  156 | static inline unsigned        Kit_DsdLitSupport( Kit_DsdNtk_t * pNtk, int Lit )  { int Id = Abc_Lit2Var(Lit); assert( Id >= 0 && Id < pNtk->nVars + pNtk->nNodes ); return pNtk->pSupps? (Id < pNtk->nVars? (1 << Id) : pNtk->pSupps[Id - pNtk->nVars]) : 0; }
      |                                                                                                                                                                                          ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-> ABC: `` Compiling: /src/proof/abs/absGla.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c -o src/proof/abs/absGla.o
-> ABC: `` Compiling: /src/proof/abs/absGlaOld.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c -o src/proof/abs/absGlaOld.o
-> ABC: `` Compiling: /src/proof/abs/absIter.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c -o src/proof/abs/absIter.o
-> ABC: `` Compiling: /src/proof/abs/absOldCex.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c -o src/proof/abs/absOldCex.o
-> ABC: `` Compiling: /src/proof/abs/absOldRef.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c -o src/proof/abs/absOldRef.o
-> ABC: `` Compiling: /src/proof/abs/absOldSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c -o src/proof/abs/absOldSat.o
-> ABC: `` Compiling: /src/proof/abs/absOldSim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c -o src/proof/abs/absOldSim.o
-> ABC: `` Compiling: /src/proof/abs/absOut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c -o src/proof/abs/absOut.o
-> ABC: `` Compiling: /src/proof/abs/absPth.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c -o src/proof/abs/absPth.o
-> ABC: `` Compiling: /src/proof/abs/absRef.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c -o src/proof/abs/absRef.o
-> ABC: `` Compiling: /src/proof/abs/absRefSelect.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c -o src/proof/abs/absRefSelect.o
-> ABC: `` Compiling: /src/proof/abs/absRpm.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpm.c -o src/proof/abs/absRpm.o
-> ABC: `` Compiling: /src/proof/abs/absRpmOld.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c -o src/proof/abs/absRpmOld.o
-> ABC: `` Compiling: /src/proof/abs/absVta.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c -o src/proof/abs/absVta.o
-> ABC: `` Compiling: /src/proof/abs/absUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c -o src/proof/abs/absUtil.o
-> ABC: `` Compiling: /src/proof/live/liveness.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c -o src/proof/live/liveness.o
-> ABC: `` Compiling: /src/proof/live/liveness_sim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c -o src/proof/live/liveness_sim.o
In file included from ./src/misc/vec/vec.h:29,
                 from ./src/aig/aig/aig.h:34,
                 from ./src/aig/saig/saig.h:29,
                 from src/sat/bmc/bmc.h:29,
                 from src/sat/bmc/bmcMaj.c:21:
In function ‘abc::Abc_InfoHasBit(unsigned int*, int)’,
    inlined from ‘abc::Abc_TtPrintBits(unsigned long*, int)’ at ./src/misc/util/utilTruth.h:1557:15,
    inlined from ‘abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)’ at src/sat/bmc/bmcMaj.c:3093:24:
./src/misc/util/abc_global.h:299:81: warning: ‘Entry’ may be used uninitialized [-Wmaybe-uninitialized]
  299 | static inline int      Abc_InfoHasBit( unsigned * p, int i )  { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0;         }
      |                                                                         ~~~~~~~~^
src/sat/bmc/bmcMaj.c: In function ‘abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)’:
src/sat/bmc/bmcMaj.c:3082:10: note: ‘Entry’ declared here
 3082 |     word Entry, Truths[100] = { 0x96, 0xE8 };
      |          ^~~~~
-> ABC: `` Compiling: /src/proof/live/ltl_parser.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c -o src/proof/live/ltl_parser.o
-> ABC: `` Compiling: /src/proof/live/kliveness.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c -o src/proof/live/kliveness.o
-> ABC: `` Compiling: /src/proof/live/monotone.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c -o src/proof/live/monotone.o
-> ABC: `` Compiling: /src/proof/live/disjunctiveMonotone.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c -o src/proof/live/disjunctiveMonotone.o
-> ABC: `` Compiling: /src/proof/live/arenaViolation.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/arenaViolation.c -o src/proof/live/arenaViolation.o
-> ABC: `` Compiling: /src/proof/live/kLiveConstraints.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c -o src/proof/live/kLiveConstraints.o
-> ABC: `` Compiling: /src/proof/live/combination.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c -o src/proof/live/combination.o
-> ABC: `` Compiling: /src/proof/ssc/sscClass.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c -o src/proof/ssc/sscClass.o
In function ‘abc::Abc_InfoHasBit(unsigned int*, int)’,
    inlined from ‘abc::Abc_TtPrintBits(unsigned long*, int)’ at ./src/misc/util/utilTruth.h:1557:15,
    inlined from ‘abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)’ at src/sat/bmc/bmcMaj.c:3695:24:
./src/misc/util/abc_global.h:299:81: warning: ‘Entry’ may be used uninitialized [-Wmaybe-uninitialized]
  299 | static inline int      Abc_InfoHasBit( unsigned * p, int i )  { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0;         }
      |                                                                         ~~~~~~~~^
src/sat/bmc/bmcMaj.c: In function ‘abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)’:
src/sat/bmc/bmcMaj.c:3693:10: note: ‘Entry’ declared here
 3693 |     word Entry; int i;
      |          ^~~~~
In function ‘abc::Abc_InfoHasBit(unsigned int*, int)’,
    inlined from ‘abc::Abc_TtPrintBits(unsigned long*, int)’ at ./src/misc/util/utilTruth.h:1557:15,
    inlined from ‘abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)’ at src/sat/bmc/bmcMaj.c:3698:24:
./src/misc/util/abc_global.h:299:81: warning: ‘Entry’ may be used uninitialized [-Wmaybe-uninitialized]
  299 | static inline int      Abc_InfoHasBit( unsigned * p, int i )  { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0;         }
      |                                                                         ~~~~~~~~^
src/sat/bmc/bmcMaj.c: In function ‘abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)’:
src/sat/bmc/bmcMaj.c:3693:10: note: ‘Entry’ declared here
 3693 |     word Entry; int i;
      |          ^~~~~
-> ABC: `` Compiling: /src/proof/ssc/sscCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c -o src/proof/ssc/sscCore.o
-> ABC: `` Compiling: /src/proof/ssc/sscSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c -o src/proof/ssc/sscSat.o
-> ABC: `` Compiling: /src/proof/ssc/sscSim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c -o src/proof/ssc/sscSim.o
-> ABC: `` Compiling: /src/proof/ssc/sscUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c -o src/proof/ssc/sscUtil.o
-> ABC: `` Compiling: /src/proof/int/intCheck.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c -o src/proof/int/intCheck.o
-> ABC: `` Compiling: /src/proof/int/intContain.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c -o src/proof/int/intContain.o
-> ABC: `` Compiling: /src/proof/int/intCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c -o src/proof/int/intCore.o
-> ABC: `` Compiling: /src/proof/int/intCtrex.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c -o src/proof/int/intCtrex.o
-> ABC: `` Compiling: /src/proof/int/intDup.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c -o src/proof/int/intDup.o
-> ABC: `` Compiling: /src/proof/int/intFrames.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c -o src/proof/int/intFrames.o
-> ABC: `` Compiling: /src/proof/int/intInter.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c -o src/proof/int/intInter.o
-> ABC: `` Compiling: /src/proof/int/intM114.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c -o src/proof/int/intM114.o
-> ABC: `` Compiling: /src/proof/int/intMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c -o src/proof/int/intMan.o
-> ABC: `` Compiling: /src/proof/int/intUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c -o src/proof/int/intUtil.o
-> ABC: `` Compiling: /src/proof/cec/cecCec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c -o src/proof/cec/cecCec.o
-> ABC: `` Compiling: /src/proof/cec/cecChoice.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c -o src/proof/cec/cecChoice.o
-> ABC: `` Compiling: /src/proof/cec/cecClass.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c -o src/proof/cec/cecClass.o
-> ABC: `` Compiling: /src/proof/cec/cecCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c -o src/proof/cec/cecCore.o
-> ABC: `` Compiling: /src/proof/cec/cecCorr.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c -o src/proof/cec/cecCorr.o
-> ABC: `` Compiling: /src/proof/cec/cecIso.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c -o src/proof/cec/cecIso.o
-> ABC: `` Compiling: /src/proof/cec/cecMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c -o src/proof/cec/cecMan.o
-> ABC: `` Compiling: /src/proof/cec/cecPat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c -o src/proof/cec/cecPat.o
-> ABC: `` Compiling: /src/proof/cec/cecSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c -o src/proof/cec/cecSat.o
src/proof/abs/absGlaOld.c: In function ‘abc::Gia_ManPerformGlaOld(abc::Gia_Man_t_*, abc::Abs_Par_t_*, int)’:
src/proof/abs/absGlaOld.c:1896:43: warning: ‘Status’ may be used uninitialized [-Wmaybe-uninitialized]
 1896 |         if ( p->pPars->fVerbose && Status == -1 )
      |                                    ~~~~~~~^~~~~
src/proof/abs/absGlaOld.c:1645:31: note: ‘Status’ declared here
 1645 |     int f, i, iPrev, nConfls, Status, nVarsOld = 0, nCoreSize, fOneIsSent = 0, RetValue = -1;
      |                               ^~~~~~
-> ABC: `` Compiling: /src/proof/cec/cecSatG.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c -o src/proof/cec/cecSatG.o
-> ABC: `` Compiling: /src/proof/cec/cecSatG2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c -o src/proof/cec/cecSatG2.o
-> ABC: `` Compiling: /src/proof/cec/cecSatG3.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG3.c -o src/proof/cec/cecSatG3.o
-> ABC: `` Compiling: /src/proof/cec/cecSeq.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c -o src/proof/cec/cecSeq.o
-> ABC: `` Compiling: /src/proof/cec/cecSim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c -o src/proof/cec/cecSim.o
-> ABC: `` Compiling: /src/proof/cec/cecSolve.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c -o src/proof/cec/cecSolve.o
-> ABC: `` Compiling: /src/proof/cec/cecSolveG.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c -o src/proof/cec/cecSolveG.o
-> ABC: `` Compiling: /src/proof/cec/cecSplit.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c -o src/proof/cec/cecSplit.o
-> ABC: `` Compiling: /src/proof/cec/cecSynth.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c -o src/proof/cec/cecSynth.o
-> ABC: `` Compiling: /src/proof/cec/cecSweep.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c -o src/proof/cec/cecSweep.o
-> ABC: `` Compiling: /src/proof/acec/acecCl.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c -o src/proof/acec/acecCl.o
-> ABC: `` Compiling: /src/proof/acec/acecCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c -o src/proof/acec/acecCore.o
-> ABC: `` Compiling: /src/proof/acec/acecCo.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCo.c -o src/proof/acec/acecCo.o
-> ABC: `` Compiling: /src/proof/acec/acecBo.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c -o src/proof/acec/acecBo.o
-> ABC: `` Compiling: /src/proof/acec/acecRe.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c -o src/proof/acec/acecRe.o
-> ABC: `` Compiling: /src/proof/acec/acecPa.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c -o src/proof/acec/acecPa.o
-> ABC: `` Compiling: /src/proof/acec/acecPo.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c -o src/proof/acec/acecPo.o
-> ABC: `` Compiling: /src/proof/acec/acecPool.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c -o src/proof/acec/acecPool.o
-> ABC: `` Compiling: /src/proof/acec/acecCover.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCover.c -o src/proof/acec/acecCover.o
-> ABC: `` Compiling: /src/proof/acec/acecFadds.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c -o src/proof/acec/acecFadds.o
-> ABC: `` Compiling: /src/proof/acec/acecMult.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c -o src/proof/acec/acecMult.o
-> ABC: `` Compiling: /src/proof/acec/acecNorm.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c -o src/proof/acec/acecNorm.o
-> ABC: `` Compiling: /src/proof/acec/acecOrder.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c -o src/proof/acec/acecOrder.o
-> ABC: `` Compiling: /src/proof/acec/acecPolyn.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c -o src/proof/acec/acecPolyn.o
-> ABC: `` Compiling: /src/proof/acec/acecSt.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c -o src/proof/acec/acecSt.o
-> ABC: `` Compiling: /src/proof/acec/acecTree.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c -o src/proof/acec/acecTree.o
-> ABC: `` Compiling: /src/proof/acec/acecUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c -o src/proof/acec/acecUtil.o
-> ABC: `` Compiling: /src/proof/acec/acec2Mult.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c -o src/proof/acec/acec2Mult.o
-> ABC: `` Compiling: /src/proof/acec/acecXor.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c -o src/proof/acec/acecXor.o
-> ABC: `` Compiling: /src/proof/dch/dchAig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c -o src/proof/dch/dchAig.o
-> ABC: `` Compiling: /src/proof/dch/dchChoice.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c -o src/proof/dch/dchChoice.o
-> ABC: `` Compiling: /src/proof/dch/dchClass.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c -o src/proof/dch/dchClass.o
-> ABC: `` Compiling: /src/proof/dch/dchCnf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c -o src/proof/dch/dchCnf.o
-> ABC: `` Compiling: /src/proof/dch/dchCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c -o src/proof/dch/dchCore.o
-> ABC: `` Compiling: /src/proof/dch/dchMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c -o src/proof/dch/dchMan.o
-> ABC: `` Compiling: /src/proof/dch/dchSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c -o src/proof/dch/dchSat.o
-> ABC: `` Compiling: /src/proof/dch/dchSim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSim.c -o src/proof/dch/dchSim.o
-> ABC: `` Compiling: /src/proof/dch/dchSimSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c -o src/proof/dch/dchSimSat.o
-> ABC: `` Compiling: /src/proof/dch/dchSweep.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSweep.c -o src/proof/dch/dchSweep.o
-> ABC: `` Compiling: /src/proof/fraig/fraigApi.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigApi.c -o src/proof/fraig/fraigApi.o
-> ABC: `` Compiling: /src/proof/fraig/fraigCanon.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigCanon.c -o src/proof/fraig/fraigCanon.o
-> ABC: `` Compiling: /src/proof/fraig/fraigFanout.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c -o src/proof/fraig/fraigFanout.o
-> ABC: `` Compiling: /src/proof/fraig/fraigFeed.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c -o src/proof/fraig/fraigFeed.o
-> ABC: `` Compiling: /src/proof/fraig/fraigMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c -o src/proof/fraig/fraigMan.o
-> ABC: `` Compiling: /src/proof/fraig/fraigMem.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c -o src/proof/fraig/fraigMem.o
-> ABC: `` Compiling: /src/proof/fraig/fraigNode.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c -o src/proof/fraig/fraigNode.o
-> ABC: `` Compiling: /src/proof/fraig/fraigPrime.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c -o src/proof/fraig/fraigPrime.o
-> ABC: `` Compiling: /src/proof/fraig/fraigSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c -o src/proof/fraig/fraigSat.o
-> ABC: `` Compiling: /src/proof/fraig/fraigTable.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c -o src/proof/fraig/fraigTable.o
-> ABC: `` Compiling: /src/proof/fraig/fraigUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c -o src/proof/fraig/fraigUtil.o
-> ABC: `` Compiling: /src/proof/fraig/fraigVec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c -o src/proof/fraig/fraigVec.o
-> ABC: `` Compiling: /src/proof/fra/fraBmc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c -o src/proof/fra/fraBmc.o
-> ABC: `` Compiling: /src/proof/fra/fraCec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c -o src/proof/fra/fraCec.o
-> ABC: `` Compiling: /src/proof/fra/fraClass.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c -o src/proof/fra/fraClass.o
-> ABC: `` Compiling: /src/proof/fra/fraClau.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c -o src/proof/fra/fraClau.o
-> ABC: `` Compiling: /src/proof/fra/fraClaus.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c -o src/proof/fra/fraClaus.o
-> ABC: `` Compiling: /src/proof/fra/fraCnf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c -o src/proof/fra/fraCnf.o
-> ABC: `` Compiling: /src/proof/fra/fraCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c -o src/proof/fra/fraCore.o
-> ABC: `` Compiling: /src/proof/fra/fraHot.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c -o src/proof/fra/fraHot.o
-> ABC: `` Compiling: /src/proof/fra/fraImp.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c -o src/proof/fra/fraImp.o
-> ABC: `` Compiling: /src/proof/fra/fraInd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c -o src/proof/fra/fraInd.o
-> ABC: `` Compiling: /src/proof/fra/fraIndVer.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraIndVer.c -o src/proof/fra/fraIndVer.o
-> ABC: `` Compiling: /src/proof/fra/fraLcr.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraLcr.c -o src/proof/fra/fraLcr.o
-> ABC: `` Compiling: /src/proof/fra/fraMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c -o src/proof/fra/fraMan.o
-> ABC: `` Compiling: /src/proof/fra/fraPart.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c -o src/proof/fra/fraPart.o
-> ABC: `` Compiling: /src/proof/fra/fraSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c -o src/proof/fra/fraSat.o
-> ABC: `` Compiling: /src/proof/fra/fraSec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c -o src/proof/fra/fraSec.o
-> ABC: `` Compiling: /src/proof/fra/fraSim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c -o src/proof/fra/fraSim.o
-> ABC: `` Compiling: /src/proof/ssw/sswAig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c -o src/proof/ssw/sswAig.o
-> ABC: `` Compiling: /src/proof/ssw/sswBmc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c -o src/proof/ssw/sswBmc.o
-> ABC: `` Compiling: /src/proof/ssw/sswClass.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c -o src/proof/ssw/sswClass.o
-> ABC: `` Compiling: /src/proof/ssw/sswCnf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c -o src/proof/ssw/sswCnf.o
-> ABC: `` Compiling: /src/proof/ssw/sswConstr.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c -o src/proof/ssw/sswConstr.o
-> ABC: `` Compiling: /src/proof/ssw/sswCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c -o src/proof/ssw/sswCore.o
-> ABC: `` Compiling: /src/proof/ssw/sswDyn.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c -o src/proof/ssw/sswDyn.o
-> ABC: `` Compiling: /src/proof/ssw/sswFilter.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c -o src/proof/ssw/sswFilter.o
-> ABC: `` Compiling: /src/proof/ssw/sswIslands.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c -o src/proof/ssw/sswIslands.o
-> ABC: `` Compiling: /src/proof/ssw/sswLcorr.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c -o src/proof/ssw/sswLcorr.o
-> ABC: `` Compiling: /src/proof/ssw/sswMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c -o src/proof/ssw/sswMan.o
-> ABC: `` Compiling: /src/proof/ssw/sswPart.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c -o src/proof/ssw/sswPart.o
-> ABC: `` Compiling: /src/proof/ssw/sswPairs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c -o src/proof/ssw/sswPairs.o
-> ABC: `` Compiling: /src/proof/ssw/sswRarity.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c -o src/proof/ssw/sswRarity.o
-> ABC: `` Compiling: /src/proof/ssw/sswSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c -o src/proof/ssw/sswSat.o
-> ABC: `` Compiling: /src/proof/ssw/sswSemi.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c -o src/proof/ssw/sswSemi.o
-> ABC: `` Compiling: /src/proof/ssw/sswSim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c -o src/proof/ssw/sswSim.o
-> ABC: `` Compiling: /src/proof/ssw/sswSimSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c -o src/proof/ssw/sswSimSat.o
-> ABC: `` Compiling: /src/proof/ssw/sswSweep.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c -o src/proof/ssw/sswSweep.o
-> ABC: `` Compiling: /src/proof/ssw/sswUnique.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c -o src/proof/ssw/sswUnique.o
-> ABC: `` Compiling: /src/aig/aig/aigCheck.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c -o src/aig/aig/aigCheck.o
-> ABC: `` Compiling: /src/aig/aig/aigCanon.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c -o src/aig/aig/aigCanon.o
-> ABC: `` Compiling: /src/aig/aig/aigCuts.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c -o src/aig/aig/aigCuts.o
-> ABC: `` Compiling: /src/aig/aig/aigDfs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c -o src/aig/aig/aigDfs.o
-> ABC: `` Compiling: /src/aig/aig/aigDup.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c -o src/aig/aig/aigDup.o
-> ABC: `` Compiling: /src/aig/aig/aigFanout.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c -o src/aig/aig/aigFanout.o
-> ABC: `` Compiling: /src/aig/aig/aigFrames.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c -o src/aig/aig/aigFrames.o
-> ABC: `` Compiling: /src/aig/aig/aigInter.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c -o src/aig/aig/aigInter.o
-> ABC: `` Compiling: /src/aig/aig/aigJust.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c -o src/aig/aig/aigJust.o
-> ABC: `` Compiling: /src/aig/aig/aigMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c -o src/aig/aig/aigMan.o
-> ABC: `` Compiling: /src/aig/aig/aigMem.c
-> ABC: `` Compiling: /src/aig/aig/aigMffc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c -o src/aig/aig/aigMem.o
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c -o src/aig/aig/aigMffc.o
-> ABC: `` Compiling: /src/aig/aig/aigObj.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c -o src/aig/aig/aigObj.o
-> ABC: `` Compiling: /src/aig/aig/aigOper.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c -o src/aig/aig/aigOper.o
-> ABC: `` Compiling: /src/aig/aig/aigOrder.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c -o src/aig/aig/aigOrder.o
-> ABC: `` Compiling: /src/aig/aig/aigPack.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c -o src/aig/aig/aigPack.o
src/aig/aig/aigMem.c: In function ‘abc::Aig_MmStepStart(int)’:
src/aig/aig/aigMem.c:488:32: warning: ‘MEM[(struct Aig_MmFixed_t * *)_3]’ may be used uninitialized [-Wmaybe-uninitialized]
  488 |         p->pMap[k] = p->pMems[0];
      |                      ~~~~~~~~~~^
-> ABC: `` Compiling: /src/aig/aig/aigPart.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c -o src/aig/aig/aigPart.o
-> ABC: `` Compiling: /src/aig/aig/aigPartReg.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c -o src/aig/aig/aigPartReg.o
-> ABC: `` Compiling: /src/aig/aig/aigPartSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c -o src/aig/aig/aigPartSat.o
-> ABC: `` Compiling: /src/aig/aig/aigRepr.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c -o src/aig/aig/aigRepr.o
-> ABC: `` Compiling: /src/aig/aig/aigRet.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c -o src/aig/aig/aigRet.o
-> ABC: `` Compiling: /src/aig/aig/aigRetF.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c -o src/aig/aig/aigRetF.o
-> ABC: `` Compiling: /src/aig/aig/aigScl.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c -o src/aig/aig/aigScl.o
-> ABC: `` Compiling: /src/aig/aig/aigShow.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c -o src/aig/aig/aigShow.o
-> ABC: `` Compiling: /src/aig/aig/aigSplit.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c -o src/aig/aig/aigSplit.o
-> ABC: `` Compiling: /src/aig/aig/aigTable.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c -o src/aig/aig/aigTable.o
-> ABC: `` Compiling: /src/aig/aig/aigTiming.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c -o src/aig/aig/aigTiming.o
-> ABC: `` Compiling: /src/aig/aig/aigTruth.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c -o src/aig/aig/aigTruth.o
-> ABC: `` Compiling: /src/aig/aig/aigTsim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c -o src/aig/aig/aigTsim.o
-> ABC: `` Compiling: /src/aig/aig/aigUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c -o src/aig/aig/aigUtil.o
-> ABC: `` Compiling: /src/aig/aig/aigWin.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c -o src/aig/aig/aigWin.o
-> ABC: `` Compiling: /src/aig/saig/saigCone.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c -o src/aig/saig/saigCone.o
-> ABC: `` Compiling: /src/aig/saig/saigConstr.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c -o src/aig/saig/saigConstr.o
-> ABC: `` Compiling: /src/aig/saig/saigConstr2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c -o src/aig/saig/saigConstr2.o
-> ABC: `` Compiling: /src/aig/saig/saigDual.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c -o src/aig/saig/saigDual.o
-> ABC: `` Compiling: /src/aig/saig/saigDup.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c -o src/aig/saig/saigDup.o
-> ABC: `` Compiling: /src/aig/saig/saigInd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c -o src/aig/saig/saigInd.o
-> ABC: `` Compiling: /src/aig/saig/saigIoa.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c -o src/aig/saig/saigIoa.o
-> ABC: `` Compiling: /src/aig/saig/saigIso.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c -o src/aig/saig/saigIso.o
-> ABC: `` Compiling: /src/aig/saig/saigIsoFast.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c -o src/aig/saig/saigIsoFast.o
-> ABC: `` Compiling: /src/aig/saig/saigIsoSlow.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c -o src/aig/saig/saigIsoSlow.o
-> ABC: `` Compiling: /src/aig/saig/saigMiter.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigMiter.c -o src/aig/saig/saigMiter.o
-> ABC: `` Compiling: /src/aig/saig/saigOutDec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c -o src/aig/saig/saigOutDec.o
-> ABC: `` Compiling: /src/aig/saig/saigPhase.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c -o src/aig/saig/saigPhase.o
-> ABC: `` Compiling: /src/aig/saig/saigRetFwd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c -o src/aig/saig/saigRetFwd.o
-> ABC: `` Compiling: /src/aig/saig/saigRetMin.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c -o src/aig/saig/saigRetMin.o
-> ABC: `` Compiling: /src/aig/saig/saigRetStep.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c -o src/aig/saig/saigRetStep.o
-> ABC: `` Compiling: /src/aig/saig/saigScl.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c -o src/aig/saig/saigScl.o
-> ABC: `` Compiling: /src/aig/saig/saigSimFast.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c -o src/aig/saig/saigSimFast.o
-> ABC: `` Compiling: /src/aig/saig/saigSimMv.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c -o src/aig/saig/saigSimMv.o
-> ABC: `` Compiling: /src/aig/saig/saigSimSeq.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c -o src/aig/saig/saigSimSeq.o
-> ABC: `` Compiling: /src/aig/saig/saigStrSim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c -o src/aig/saig/saigStrSim.o
-> ABC: `` Compiling: /src/aig/saig/saigSwitch.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c -o src/aig/saig/saigSwitch.o
-> ABC: `` Compiling: /src/aig/saig/saigSynch.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c -o src/aig/saig/saigSynch.o
-> ABC: `` Compiling: /src/aig/saig/saigTempor.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c -o src/aig/saig/saigTempor.o
-> ABC: `` Compiling: /src/aig/saig/saigTrans.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c -o src/aig/saig/saigTrans.o
-> ABC: `` Compiling: /src/aig/saig/saigWnd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c -o src/aig/saig/saigWnd.o
-> ABC: `` Compiling: /src/aig/gia/giaAig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c -o src/aig/gia/giaAig.o
-> ABC: `` Compiling: /src/aig/gia/giaAgi.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c -o src/aig/gia/giaAgi.o
-> ABC: `` Compiling: /src/aig/gia/giaAiger.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c -o src/aig/gia/giaAiger.o
-> ABC: `` Compiling: /src/aig/gia/giaAigerExt.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c -o src/aig/gia/giaAigerExt.o
-> ABC: `` Compiling: /src/aig/gia/giaBalAig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c -o src/aig/gia/giaBalAig.o
-> ABC: `` Compiling: /src/aig/gia/giaBalLut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c -o src/aig/gia/giaBalLut.o
-> ABC: `` Compiling: /src/aig/gia/giaBalMap.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c -o src/aig/gia/giaBalMap.o
-> ABC: `` Compiling: /src/aig/gia/giaBidec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c -o src/aig/gia/giaBidec.o
-> ABC: `` Compiling: /src/aig/gia/giaCCof.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c -o src/aig/gia/giaCCof.o
-> ABC: `` Compiling: /src/aig/gia/giaCex.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c -o src/aig/gia/giaCex.o
-> ABC: `` Compiling: /src/aig/gia/giaClp.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c -o src/aig/gia/giaClp.o
-> ABC: `` Compiling: /src/aig/gia/giaCof.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c -o src/aig/gia/giaCof.o
-> ABC: `` Compiling: /src/aig/gia/giaCone.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c -o src/aig/gia/giaCone.o
-> ABC: `` Compiling: /src/aig/gia/giaCSatOld.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatOld.c -o src/aig/gia/giaCSatOld.o
-> ABC: `` Compiling: /src/aig/gia/giaCSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat.c -o src/aig/gia/giaCSat.o
-> ABC: `` Compiling: /src/aig/gia/giaCSat2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat2.c -o src/aig/gia/giaCSat2.o
-> ABC: `` Compiling: /src/aig/gia/giaCSat3.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c -o src/aig/gia/giaCSat3.o
-> ABC: `` Compiling: /src/aig/gia/giaCSatP.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c -o src/aig/gia/giaCSatP.o
-> ABC: `` Compiling: /src/aig/gia/giaCTas.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c -o src/aig/gia/giaCTas.o
-> ABC: `` Compiling: /src/aig/gia/giaCut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c -o src/aig/gia/giaCut.o
-> ABC: `` Compiling: /src/aig/gia/giaDecs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDecs.c -o src/aig/gia/giaDecs.o
-> ABC: `` Compiling: /src/aig/gia/giaDeep.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDeep.c -o src/aig/gia/giaDeep.o
-> ABC: `` Compiling: /src/aig/gia/giaDfs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c -o src/aig/gia/giaDfs.o
In file included from ./src/misc/vec/vec.h:29,
                 from src/aig/gia/gia.h:34,
                 from src/aig/gia/giaCone.c:21:
In function ‘abc::Vec_IntAlloc(int)’,
    inlined from ‘abc::Vec_IntStartNatural(int)’ at ./src/misc/vec/vecInt.h:188:21,
    inlined from ‘abc::Gia_ManFindPivots(abc::Gia_Man_t_*, int, int, int)’ at src/aig/gia/giaCone.c:393:48:
./src/misc/util/abc_global.h:263:50: warning: argument 1 range [18446744065119617024, 18446744073709551612] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=]
  263 | #define ABC_ALLOC(type, num)     ((type *) malloc(sizeof(type) * (size_t)(num)))
      |                                            ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from /usr/include/c++/13/cstdlib:79,
                 from /usr/include/c++/13/stdlib.h:36,
                 from src/aig/gia/gia.h:30:
/usr/include/stdlib.h: In function ‘abc::Gia_ManFindPivots(abc::Gia_Man_t_*, int, int, int)’:
/usr/include/stdlib.h:553:14: note: in a call to allocation function ‘malloc’ declared here
  553 | extern void *malloc (size_t __size) __THROW __attribute_malloc__
      |              ^~~~~~
-> ABC: `` Compiling: /src/aig/gia/giaDup.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c -o src/aig/gia/giaDup.o
-> ABC: `` Compiling: /src/aig/gia/giaEdge.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c -o src/aig/gia/giaEdge.o
-> ABC: `` Compiling: /src/aig/gia/giaEmbed.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c -o src/aig/gia/giaEmbed.o
-> ABC: `` Compiling: /src/aig/gia/giaEnable.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c -o src/aig/gia/giaEnable.o
-> ABC: `` Compiling: /src/aig/gia/giaEquiv.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c -o src/aig/gia/giaEquiv.o
-> ABC: `` Compiling: /src/aig/gia/giaEra.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c -o src/aig/gia/giaEra.o
-> ABC: `` Compiling: /src/aig/gia/giaEra2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c -o src/aig/gia/giaEra2.o
-> ABC: `` Compiling: /src/aig/gia/giaEsop.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c -o src/aig/gia/giaEsop.o
-> ABC: `` Compiling: /src/aig/gia/giaExist.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c -o src/aig/gia/giaExist.o
-> ABC: `` Compiling: /src/aig/gia/giaFalse.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c -o src/aig/gia/giaFalse.o
-> ABC: `` Compiling: /src/aig/gia/giaFanout.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c -o src/aig/gia/giaFanout.o
In file included from ./src/misc/vec/vec.h:33,
                 from src/aig/gia/gia.h:34,
                 from src/aig/gia/giaEsop.c:21:
In function ‘abc::Vec_StrPush(abc::Vec_Str_t_*, char)’,
    inlined from ‘abc::Eso_ManCoverPrint(abc::Eso_Man_t_*, abc::Vec_Int_t_*)’ at src/aig/gia/giaEsop.c:124:16,
    inlined from ‘abc::Eso_ManCoverPrint(abc::Eso_Man_t_*, abc::Vec_Int_t_*)’ at src/aig/gia/giaEsop.c:112:6:
./src/misc/vec/vecStr.h:562:27: warning: writing 1 byte into a region of size 0 [-Wstringop-overflow=]
  562 |     p->pArray[p->nSize++] = Entry;
      |     ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~
In file included from ./src/misc/vec/vec.h:29:
In function ‘abc::Vec_StrGrow(abc::Vec_Str_t_*, int)’,
    inlined from ‘abc::Vec_StrGrow(abc::Vec_Str_t_*, int)’ at ./src/misc/vec/vecStr.h:422:20,
    inlined from ‘abc::Vec_StrPush(abc::Vec_Str_t_*, char)’ at ./src/misc/vec/vecStr.h:558:24,
    inlined from ‘abc::Eso_ManCoverPrint(abc::Eso_Man_t_*, abc::Vec_Int_t_*)’ at src/aig/gia/giaEsop.c:124:16,
    inlined from ‘abc::Eso_ManCoverPrint(abc::Eso_Man_t_*, abc::Vec_Int_t_*)’ at src/aig/gia/giaEsop.c:112:6:
./src/misc/util/abc_global.h:268:35: note: at offset 16 into destination object of size 16 allocated by ‘realloc’
  268 |         ((obj) ? ((type *) realloc((char *)(obj), sizeof(type) * (size_t)(num))) : \
      |                            ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
./src/misc/util/abc_global.h:269:26: note: at offset 16 into destination object of size 16 allocated by ‘malloc’
  269 |          ((type *) malloc(sizeof(type) * (size_t)(num))))
      |                    ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In function ‘abc::Vec_StrPush(abc::Vec_Str_t_*, char)’,
    inlined from ‘abc::Eso_ManCoverPrint(abc::Eso_Man_t_*, abc::Vec_Int_t_*)’ at src/aig/gia/giaEsop.c:125:16,
    inlined from ‘abc::Eso_ManCoverPrint(abc::Eso_Man_t_*, abc::Vec_Int_t_*)’ at src/aig/gia/giaEsop.c:112:6:
./src/misc/vec/vecStr.h:562:27: warning: writing 1 byte into a region of size 0 [-Wstringop-overflow=]
  562 |     p->pArray[p->nSize++] = Entry;
      |     ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~
In function ‘abc::Vec_StrGrow(abc::Vec_Str_t_*, int)’,
    inlined from ‘abc::Vec_StrGrow(abc::Vec_Str_t_*, int)’ at ./src/misc/vec/vecStr.h:422:20,
    inlined from ‘abc::Vec_StrPush(abc::Vec_Str_t_*, char)’ at ./src/misc/vec/vecStr.h:558:24,
    inlined from ‘abc::Eso_ManCoverPrint(abc::Eso_Man_t_*, abc::Vec_Int_t_*)’ at src/aig/gia/giaEsop.c:125:16,
    inlined from ‘abc::Eso_ManCoverPrint(abc::Eso_Man_t_*, abc::Vec_Int_t_*)’ at src/aig/gia/giaEsop.c:112:6:
./src/misc/util/abc_global.h:268:35: note: at offset [-2147483644, -1] into destination object of size 16 allocated by ‘realloc’
  268 |         ((obj) ? ((type *) realloc((char *)(obj), sizeof(type) * (size_t)(num))) : \
      |                            ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
./src/misc/util/abc_global.h:269:26: note: at offset [-2147483644, -1] into destination object of size 16 allocated by ‘malloc’
  269 |          ((type *) malloc(sizeof(type) * (size_t)(num))))
      |                    ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-> ABC: `` Compiling: /src/aig/gia/giaForce.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c -o src/aig/gia/giaForce.o
-> ABC: `` Compiling: /src/aig/gia/giaFrames.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c -o src/aig/gia/giaFrames.o
-> ABC: `` Compiling: /src/aig/gia/giaFront.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c -o src/aig/gia/giaFront.o
-> ABC: `` Compiling: /src/aig/gia/giaFx.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c -o src/aig/gia/giaFx.o
-> ABC: `` Compiling: /src/aig/gia/giaGen.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGen.c -o src/aig/gia/giaGen.o
-> ABC: `` Compiling: /src/aig/gia/giaGig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c -o src/aig/gia/giaGig.o
-> ABC: `` Compiling: /src/aig/gia/giaGlitch.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c -o src/aig/gia/giaGlitch.o
-> ABC: `` Compiling: /src/aig/gia/giaHash.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c -o src/aig/gia/giaHash.o
-> ABC: `` Compiling: /src/aig/gia/giaIf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c -o src/aig/gia/giaIf.o
-> ABC: `` Compiling: /src/aig/gia/giaIff.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c -o src/aig/gia/giaIff.o
-> ABC: `` Compiling: /src/aig/gia/giaIiff.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c -o src/aig/gia/giaIiff.o
-> ABC: `` Compiling: /src/aig/gia/giaIso.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c -o src/aig/gia/giaIso.o
-> ABC: `` Compiling: /src/aig/gia/giaIso2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c -o src/aig/gia/giaIso2.o
-> ABC: `` Compiling: /src/aig/gia/giaIso3.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso3.c -o src/aig/gia/giaIso3.o
-> ABC: `` Compiling: /src/aig/gia/giaJf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c -o src/aig/gia/giaJf.o
-> ABC: `` Compiling: /src/aig/gia/giaKf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c -o src/aig/gia/giaKf.o
-> ABC: `` Compiling: /src/aig/gia/giaLf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c -o src/aig/gia/giaLf.o
-> ABC: `` Compiling: /src/aig/gia/giaMf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c -o src/aig/gia/giaMf.o
-> ABC: `` Compiling: /src/aig/gia/giaMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c -o src/aig/gia/giaMan.o
-> ABC: `` Compiling: /src/aig/gia/giaMem.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c -o src/aig/gia/giaMem.o
-> ABC: `` Compiling: /src/aig/gia/giaMfs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c -o src/aig/gia/giaMfs.o
-> ABC: `` Compiling: /src/aig/gia/giaMini.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c -o src/aig/gia/giaMini.o
src/aig/gia/giaMem.c: In function ‘abc::Gia_MmStepStart(int)’:
src/aig/gia/giaMem.c:484:32: warning: ‘MEM[(struct Gia_MmFixed_t * *)_3]’ may be used uninitialized [-Wmaybe-uninitialized]
  484 |         p->pMap[k] = p->pMems[0];
      |                      ~~~~~~~~~~^
-> ABC: `` Compiling: /src/aig/gia/giaMinLut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c -o src/aig/gia/giaMinLut.o
-> ABC: `` Compiling: /src/aig/gia/giaMinLut2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c -o src/aig/gia/giaMinLut2.o
-> ABC: `` Compiling: /src/aig/gia/giaMuxes.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c -o src/aig/gia/giaMuxes.o
In function ‘abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’,
    inlined from ‘abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)’ at src/aig/gia/giaLf.c:604:32,
    inlined from ‘abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)’ at src/aig/gia/giaLf.c:595:26:
src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  583 |     pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj );
      |     ~~~~~~~~~~~~~~~^
src/aig/gia/giaLf.c: In function ‘abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)’:
src/aig/gia/giaLf.c:52:21: note: while referencing ‘pLeaves’
   52 |     int             pLeaves[0];      // leaves
      |                     ^~~~~~~
In function ‘abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’,
    inlined from ‘abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)’ at src/aig/gia/giaLf.c:604:32,
    inlined from ‘abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)’ at src/aig/gia/giaLf.c:595:26:
src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  584 |     pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj );
      |     ~~~~~~~~~~~~~~~^
src/aig/gia/giaLf.c: In function ‘abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)’:
src/aig/gia/giaLf.c:52:21: note: while referencing ‘pLeaves’
   52 |     int             pLeaves[0];      // leaves
      |                     ^~~~~~~
In function ‘abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’,
    inlined from ‘abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)’ at src/aig/gia/giaLf.c:604:32,
    inlined from ‘abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)’ at src/aig/gia/giaLf.c:595:26:
src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  585 |     pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj );
      |     ~~~~~~~~~~~~~~~^
src/aig/gia/giaLf.c: In function ‘abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)’:
src/aig/gia/giaLf.c:52:21: note: while referencing ‘pLeaves’
   52 |     int             pLeaves[0];      // leaves
      |                     ^~~~~~~
-> ABC: `` Compiling: /src/aig/gia/giaNf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c -o src/aig/gia/giaNf.o
src/aig/gia/giaMan.c: In function ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’:
src/aig/gia/giaMan.c:1252:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                              ^~~~
In function ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’,
    inlined from ‘abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*)’ at src/aig/gia/giaMan.c:1264:35,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1312:26:
src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                           ^~~~~~~~~~
In file included from /usr/include/stdio.h:906,
                 from src/aig/gia/gia.h:29,
                 from src/aig/gia/giaMan.c:23:
In function ‘sprintf’,
    inlined from ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’ at src/aig/gia/giaMan.c:1252:16,
    inlined from ‘abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*)’ at src/aig/gia/giaMan.c:1264:35,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1312:26:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 10000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
src/aig/gia/giaMan.c: In function ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’:
src/aig/gia/giaMan.c:1252:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                              ^~~~
In function ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’,
    inlined from ‘abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*)’ at src/aig/gia/giaMan.c:1264:35,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1315:26:
src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                           ^~~~~~~~~~
In function ‘sprintf’,
    inlined from ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’ at src/aig/gia/giaMan.c:1252:16,
    inlined from ‘abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*)’ at src/aig/gia/giaMan.c:1264:35,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1315:26:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 10000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
src/aig/gia/giaMan.c: In function ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’:
src/aig/gia/giaMan.c:1252:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                              ^~~~
In function ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’,
    inlined from ‘abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*)’ at src/aig/gia/giaMan.c:1264:35,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1319:26:
src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                           ^~~~~~~~~~
In function ‘sprintf’,
    inlined from ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’ at src/aig/gia/giaMan.c:1252:16,
    inlined from ‘abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*)’ at src/aig/gia/giaMan.c:1264:35,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1319:26:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 10000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
src/aig/gia/giaMan.c: In function ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’:
src/aig/gia/giaMan.c:1252:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                              ^~~~
In function ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’,
    inlined from ‘abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*)’ at src/aig/gia/giaMan.c:1264:35,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1323:26:
src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                           ^~~~~~~~~~
In function ‘sprintf’,
    inlined from ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’ at src/aig/gia/giaMan.c:1252:16,
    inlined from ‘abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*)’ at src/aig/gia/giaMan.c:1264:35,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1323:26:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 10000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
src/aig/gia/giaMan.c: In function ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’:
src/aig/gia/giaMan.c:1252:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                              ^~~~
In function ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1337:20:
src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                           ^~~~~~~~~~
In function ‘sprintf’,
    inlined from ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’ at src/aig/gia/giaMan.c:1252:16,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1337:20:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 10000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
src/aig/gia/giaMan.c: In function ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’:
src/aig/gia/giaMan.c:1252:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                              ^~~~
In function ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1343:20:
src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                           ^~~~~~~~~~
In function ‘sprintf’,
    inlined from ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’ at src/aig/gia/giaMan.c:1252:16,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1343:20:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 10000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
src/aig/gia/giaMan.c: In function ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’:
src/aig/gia/giaMan.c:1252:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                              ^~~~
In function ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1399:20:
src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                           ^~~~~~~~~~
In function ‘sprintf’,
    inlined from ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’ at src/aig/gia/giaMan.c:1252:16,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1399:20:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 10000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
src/aig/gia/giaMan.c: In function ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’:
src/aig/gia/giaMan.c:1252:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                              ^~~~
In function ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1404:20:
src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                           ^~~~~~~~~~
In function ‘sprintf’,
    inlined from ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’ at src/aig/gia/giaMan.c:1252:16,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1404:20:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 10000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
src/aig/gia/giaMan.c: In function ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’:
src/aig/gia/giaMan.c:1252:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                              ^~~~
In function ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1424:20:
src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                           ^~~~~~~~~~
In function ‘sprintf’,
    inlined from ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’ at src/aig/gia/giaMan.c:1252:16,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1424:20:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 10000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
src/aig/gia/giaMan.c: In function ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’:
src/aig/gia/giaMan.c:1252:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                              ^~~~
In function ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1425:20:
src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [-536870911, 2147483646]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                           ^~~~~~~~~~
In function ‘sprintf’,
    inlined from ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’ at src/aig/gia/giaMan.c:1252:16,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1425:20:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 10000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
src/aig/gia/giaMan.c: In function ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’:
src/aig/gia/giaMan.c:1252:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                              ^~~~
In function ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1426:20:
src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [-536870911, 2147483646]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                           ^~~~~~~~~~
In function ‘sprintf’,
    inlined from ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’ at src/aig/gia/giaMan.c:1252:16,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1426:20:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 10000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
src/aig/gia/giaMan.c: In function ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’:
src/aig/gia/giaMan.c:1252:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                              ^~~~
In function ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1430:20:
src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                           ^~~~~~~~~~
In function ‘sprintf’,
    inlined from ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’ at src/aig/gia/giaMan.c:1252:16,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1430:20:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 10000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
src/aig/gia/giaMan.c: In function ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’:
src/aig/gia/giaMan.c:1252:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                              ^~~~
In function ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1431:20:
src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646]
 1252 |         sprintf( pBuffer, "%c%0*d%c", c, d, i, c );
      |                           ^~~~~~~~~~
In function ‘sprintf’,
    inlined from ‘abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)’ at src/aig/gia/giaMan.c:1252:16,
    inlined from ‘abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)’ at src/aig/gia/giaMan.c:1431:20:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 10000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
-> ABC: `` Compiling: /src/aig/gia/giaOf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c -o src/aig/gia/giaOf.o
-> ABC: `` Compiling: /src/aig/gia/giaPack.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c -o src/aig/gia/giaPack.o
-> ABC: `` Compiling: /src/aig/gia/giaPat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c -o src/aig/gia/giaPat.o
In file included from ./src/misc/vec/vec.h:29,
                 from src/aig/gia/gia.h:34,
                 from src/aig/gia/giaPack.c:21:
In function ‘abc::Vec_IntAlloc(int)’,
    inlined from ‘abc::Gia_ManLutCollect(abc::Gia_Man_t_*)’ at src/aig/gia/giaPack.c:105:26:
./src/misc/util/abc_global.h:263:50: warning: argument 1 range [18446744065119617028, 18446744073709551612] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=]
  263 | #define ABC_ALLOC(type, num)     ((type *) malloc(sizeof(type) * (size_t)(num)))
      |                                            ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from /usr/include/c++/13/cstdlib:79,
                 from /usr/include/c++/13/stdlib.h:36,
                 from src/aig/gia/gia.h:30:
/usr/include/stdlib.h: In function ‘abc::Gia_ManLutCollect(abc::Gia_Man_t_*)’:
/usr/include/stdlib.h:553:14: note: in a call to allocation function ‘malloc’ declared here
  553 | extern void *malloc (size_t __size) __THROW __attribute_malloc__
      |              ^~~~~~
-> ABC: `` Compiling: /src/aig/gia/giaPat2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c -o src/aig/gia/giaPat2.o
-> ABC: `` Compiling: /src/aig/gia/giaPf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c -o src/aig/gia/giaPf.o
-> ABC: `` Compiling: /src/aig/gia/giaQbf.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c -o src/aig/gia/giaQbf.o
In function ‘abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’,
    inlined from ‘abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’ at src/aig/gia/giaLf.c:614:32,
    inlined from ‘abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)’ at src/aig/gia/giaLf.c:1082:35:
src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  583 |     pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj );
      |     ~~~~~~~~~~~~~~~^
src/aig/gia/giaLf.c: In function ‘abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)’:
src/aig/gia/giaLf.c:52:21: note: while referencing ‘pLeaves’
   52 |     int             pLeaves[0];      // leaves
      |                     ^~~~~~~
In function ‘abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’,
    inlined from ‘abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’ at src/aig/gia/giaLf.c:614:32,
    inlined from ‘abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)’ at src/aig/gia/giaLf.c:1082:35:
src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  584 |     pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj );
      |     ~~~~~~~~~~~~~~~^
src/aig/gia/giaLf.c: In function ‘abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)’:
src/aig/gia/giaLf.c:52:21: note: while referencing ‘pLeaves’
   52 |     int             pLeaves[0];      // leaves
      |                     ^~~~~~~
In function ‘abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’,
    inlined from ‘abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’ at src/aig/gia/giaLf.c:614:32,
    inlined from ‘abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)’ at src/aig/gia/giaLf.c:1082:35:
src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  585 |     pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj );
      |     ~~~~~~~~~~~~~~~^
src/aig/gia/giaLf.c: In function ‘abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)’:
src/aig/gia/giaLf.c:52:21: note: while referencing ‘pLeaves’
   52 |     int             pLeaves[0];      // leaves
      |                     ^~~~~~~
-> ABC: `` Compiling: /src/aig/gia/giaReshape1.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c -o src/aig/gia/giaReshape1.o
In function ‘abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’,
    inlined from ‘abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’ at src/aig/gia/giaLf.c:614:32,
    inlined from ‘abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)’ at src/aig/gia/giaLf.c:1092:37:
src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  583 |     pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj );
      |     ~~~~~~~~~~~~~~~^
src/aig/gia/giaLf.c: In function ‘abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)’:
src/aig/gia/giaLf.c:52:21: note: while referencing ‘pLeaves’
   52 |     int             pLeaves[0];      // leaves
      |                     ^~~~~~~
In function ‘abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’,
    inlined from ‘abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’ at src/aig/gia/giaLf.c:614:32,
    inlined from ‘abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)’ at src/aig/gia/giaLf.c:1092:37:
src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  584 |     pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj );
      |     ~~~~~~~~~~~~~~~^
src/aig/gia/giaLf.c: In function ‘abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)’:
src/aig/gia/giaLf.c:52:21: note: while referencing ‘pLeaves’
   52 |     int             pLeaves[0];      // leaves
      |                     ^~~~~~~
In function ‘abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’,
    inlined from ‘abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’ at src/aig/gia/giaLf.c:614:32,
    inlined from ‘abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)’ at src/aig/gia/giaLf.c:1092:37:
src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  585 |     pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj );
      |     ~~~~~~~~~~~~~~~^
src/aig/gia/giaLf.c: In function ‘abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)’:
src/aig/gia/giaLf.c:52:21: note: while referencing ‘pLeaves’
   52 |     int             pLeaves[0];      // leaves
      |                     ^~~~~~~
-> ABC: `` Compiling: /src/aig/gia/giaReshape2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c -o src/aig/gia/giaReshape2.o
-> ABC: `` Compiling: /src/aig/gia/giaResub.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c -o src/aig/gia/giaResub.o
-> ABC: `` Compiling: /src/aig/gia/giaResub2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c -o src/aig/gia/giaResub2.o
In function ‘abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)’,
    inlined from ‘abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)’ at src/aig/gia/giaLf.c:400:32,
    inlined from ‘abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)’ at src/aig/gia/giaLf.c:1200:38:
src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  360 |     p->pLeaves[0] = i;
      |     ~~~~~~~~~~~~^
src/aig/gia/giaLf.c: In function ‘abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)’:
src/aig/gia/giaLf.c:52:21: note: while referencing ‘pLeaves’
   52 |     int             pLeaves[0];      // leaves
      |                     ^~~~~~~
In function ‘abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)’,
    inlined from ‘abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)’ at src/aig/gia/giaLf.c:400:32,
    inlined from ‘abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)’ at src/aig/gia/giaLf.c:1201:38:
src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  360 |     p->pLeaves[0] = i;
      |     ~~~~~~~~~~~~^
src/aig/gia/giaLf.c: In function ‘abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)’:
src/aig/gia/giaLf.c:52:21: note: while referencing ‘pLeaves’
   52 |     int             pLeaves[0];      // leaves
      |                     ^~~~~~~
In function ‘abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)’,
    inlined from ‘abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)’ at src/aig/gia/giaLf.c:400:32,
    inlined from ‘abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)’ at src/aig/gia/giaLf.c:1236:38:
src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  360 |     p->pLeaves[0] = i;
      |     ~~~~~~~~~~~~^
src/aig/gia/giaLf.c: In function ‘abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)’:
src/aig/gia/giaLf.c:52:21: note: while referencing ‘pLeaves’
   52 |     int             pLeaves[0];      // leaves
      |                     ^~~~~~~
In function ‘abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)’,
    inlined from ‘abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)’ at src/aig/gia/giaLf.c:400:32,
    inlined from ‘abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)’ at src/aig/gia/giaLf.c:1252:38:
src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  360 |     p->pLeaves[0] = i;
      |     ~~~~~~~~~~~~^
src/aig/gia/giaLf.c: In function ‘abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)’:
src/aig/gia/giaLf.c:52:21: note: while referencing ‘pLeaves’
   52 |     int             pLeaves[0];      // leaves
      |                     ^~~~~~~
In function ‘abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’,
    inlined from ‘abc::Lf_ObjCutMux(abc::Lf_Man_t_*, int)’ at src/aig/gia/giaLf.c:593:28,
    inlined from ‘abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)’ at src/aig/gia/giaLf.c:1360:29:
src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  583 |     pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj );
      |     ~~~~~~~~~~~~~~~^
src/aig/gia/giaLf.c: In function ‘abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)’:
src/aig/gia/giaLf.c:52:21: note: while referencing ‘pLeaves’
   52 |     int             pLeaves[0];      // leaves
      |                     ^~~~~~~
In function ‘abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’,
    inlined from ‘abc::Lf_ObjCutMux(abc::Lf_Man_t_*, int)’ at src/aig/gia/giaLf.c:593:28,
    inlined from ‘abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)’ at src/aig/gia/giaLf.c:1360:29:
src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  584 |     pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj );
      |     ~~~~~~~~~~~~~~~^
src/aig/gia/giaLf.c: In function ‘abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)’:
src/aig/gia/giaLf.c:52:21: note: while referencing ‘pLeaves’
   52 |     int             pLeaves[0];      // leaves
      |                     ^~~~~~~
In function ‘abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)’,
    inlined from ‘abc::Lf_ObjCutMux(abc::Lf_Man_t_*, int)’ at src/aig/gia/giaLf.c:593:28,
    inlined from ‘abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)’ at src/aig/gia/giaLf.c:1360:29:
src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  585 |     pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj );
      |     ~~~~~~~~~~~~~~~^
src/aig/gia/giaLf.c: In function ‘abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)’:
src/aig/gia/giaLf.c:52:21: note: while referencing ‘pLeaves’
   52 |     int             pLeaves[0];      // leaves
      |                     ^~~~~~~
-> ABC: `` Compiling: /src/aig/gia/giaResub3.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c -o src/aig/gia/giaResub3.o
-> ABC: `` Compiling: /src/aig/gia/giaResub6.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c -o src/aig/gia/giaResub6.o
-> ABC: `` Compiling: /src/aig/gia/giaRetime.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c -o src/aig/gia/giaRetime.o
-> ABC: `` Compiling: /src/aig/gia/giaRex.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c -o src/aig/gia/giaRex.o
In file included from ./src/sat/bsat/satSolver.h:29,
                 from ./src/sat/bsat/satStore.h:36,
                 from src/aig/gia/giaOf.c:30:
src/aig/gia/giaOf.c: In function ‘abc::Of_ManComputeForwardDirconCut(abc::Of_Man_t_*, int, int*, int*, int*)’:
src/aig/gia/giaOf.c:1324:21: warning: ‘Delays’ may be used uninitialized [-Wmaybe-uninitialized]
 1324 |     assert( Delays[0] >= 0 && Delays[nSize-1] >= 0 );
      |             ~~~~~~~~^
src/aig/gia/giaOf.c:1307:9: note: ‘Delays’ declared here
 1307 |     int Delays[6], Perm[6] = {0, 1, 2, 3, 4, 5};
      |         ^~~~~~
-> ABC: `` Compiling: /src/aig/gia/giaSatEdge.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c -o src/aig/gia/giaSatEdge.o
-> ABC: `` Compiling: /src/aig/gia/giaSatLE.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c -o src/aig/gia/giaSatLE.o
-> ABC: `` Compiling: /src/aig/gia/giaSatLut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c -o src/aig/gia/giaSatLut.o
-> ABC: `` Compiling: /src/aig/gia/giaSatMap.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c -o src/aig/gia/giaSatMap.o
-> ABC: `` Compiling: /src/aig/gia/giaSatoko.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c -o src/aig/gia/giaSatoko.o
-> ABC: `` Compiling: /src/aig/gia/giaSatSyn.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c -o src/aig/gia/giaSatSyn.o
-> ABC: `` Compiling: /src/aig/gia/giaSat3.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c -o src/aig/gia/giaSat3.o
-> ABC: `` Compiling: /src/aig/gia/giaScl.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c -o src/aig/gia/giaScl.o
-> ABC: `` Compiling: /src/aig/gia/giaScript.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c -o src/aig/gia/giaScript.o
-> ABC: `` Compiling: /src/aig/gia/giaShow.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c -o src/aig/gia/giaShow.o
-> ABC: `` Compiling: /src/aig/gia/giaShrink.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c -o src/aig/gia/giaShrink.o
-> ABC: `` Compiling: /src/aig/gia/giaShrink6.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c -o src/aig/gia/giaShrink6.o
-> ABC: `` Compiling: /src/aig/gia/giaShrink7.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c -o src/aig/gia/giaShrink7.o
-> ABC: `` Compiling: /src/aig/gia/giaSif.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c -o src/aig/gia/giaSif.o
-> ABC: `` Compiling: /src/aig/gia/giaSim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c -o src/aig/gia/giaSim.o
-> ABC: `` Compiling: /src/aig/gia/giaSim2.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c -o src/aig/gia/giaSim2.o
-> ABC: `` Compiling: /src/aig/gia/giaSimBase.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSimBase.c -o src/aig/gia/giaSimBase.o
-> ABC: `` Compiling: /src/aig/gia/giaSort.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c -o src/aig/gia/giaSort.o
-> ABC: `` Compiling: /src/aig/gia/giaSpeedup.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c -o src/aig/gia/giaSpeedup.o
-> ABC: `` Compiling: /src/aig/gia/giaSplit.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c -o src/aig/gia/giaSplit.o
-> ABC: `` Compiling: /src/aig/gia/giaStg.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c -o src/aig/gia/giaStg.o
-> ABC: `` Compiling: /src/aig/gia/giaStoch.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c -o src/aig/gia/giaStoch.o
-> ABC: `` Compiling: /src/aig/gia/giaStr.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c -o src/aig/gia/giaStr.o
-> ABC: `` Compiling: /src/aig/gia/giaSupMin.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c -o src/aig/gia/giaSupMin.o
-> ABC: `` Compiling: /src/aig/gia/giaSupp.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c -o src/aig/gia/giaSupp.o
-> ABC: `` Compiling: /src/aig/gia/giaSupps.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c -o src/aig/gia/giaSupps.o
-> ABC: `` Compiling: /src/aig/gia/giaSweep.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c -o src/aig/gia/giaSweep.o
-> ABC: `` Compiling: /src/aig/gia/giaSweeper.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c -o src/aig/gia/giaSweeper.o
-> ABC: `` Compiling: /src/aig/gia/giaSwitch.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c -o src/aig/gia/giaSwitch.o
-> ABC: `` Compiling: /src/aig/gia/giaTim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c -o src/aig/gia/giaTim.o
-> ABC: `` Compiling: /src/aig/gia/giaTis.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c -o src/aig/gia/giaTis.o
In file included from ./src/misc/vec/vec.h:29,
                 from src/aig/gia/gia.h:34,
                 from src/aig/gia/giaStg.c:21:
In function ‘abc::Vec_VecAlloc(int)’,
    inlined from ‘abc::Vec_VecStart(int)’ at ./src/misc/vec/vecVec.h:172:21,
    inlined from ‘abc::Gia_ManStgOneHot(abc::Vec_Int_t_*, int, int, int)’ at src/aig/gia/giaStg.c:347:29:
./src/misc/util/abc_global.h:263:50: warning: argument 1 range [18446744056529682432, 18446744073709551608] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=]
  263 | #define ABC_ALLOC(type, num)     ((type *) malloc(sizeof(type) * (size_t)(num)))
      |                                            ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from /usr/include/c++/13/cstdlib:79,
                 from /usr/include/c++/13/stdlib.h:36,
                 from src/aig/gia/gia.h:30:
/usr/include/stdlib.h: In function ‘abc::Gia_ManStgOneHot(abc::Vec_Int_t_*, int, int, int)’:
/usr/include/stdlib.h:553:14: note: in a call to allocation function ‘malloc’ declared here
  553 | extern void *malloc (size_t __size) __THROW __attribute_malloc__
      |              ^~~~~~
-> ABC: `` Compiling: /src/aig/gia/giaTranStoch.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c -o src/aig/gia/giaTranStoch.o
-> ABC: `` Compiling: /src/aig/gia/giaTruth.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c -o src/aig/gia/giaTruth.o
-> ABC: `` Compiling: /src/aig/gia/giaTsim.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c -o src/aig/gia/giaTsim.o
-> ABC: `` Compiling: /src/aig/gia/giaUnate.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c -o src/aig/gia/giaUnate.o
-> ABC: `` Compiling: /src/aig/gia/giaUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c -o src/aig/gia/giaUtil.o
-> ABC: `` Compiling: /src/aig/ioa/ioaReadAig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c -o src/aig/ioa/ioaReadAig.o
-> ABC: `` Compiling: /src/aig/ioa/ioaWriteAig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c -o src/aig/ioa/ioaWriteAig.o
-> ABC: `` Compiling: /src/aig/ioa/ioaUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c -o src/aig/ioa/ioaUtil.o
-> ABC: `` Compiling: /src/aig/ivy/ivyBalance.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c -o src/aig/ivy/ivyBalance.o
-> ABC: `` Compiling: /src/aig/ivy/ivyCanon.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c -o src/aig/ivy/ivyCanon.o
-> ABC: `` Compiling: /src/aig/ivy/ivyCheck.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c -o src/aig/ivy/ivyCheck.o
-> ABC: `` Compiling: /src/aig/ivy/ivyCut.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c -o src/aig/ivy/ivyCut.o
-> ABC: `` Compiling: /src/aig/ivy/ivyCutTrav.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c -o src/aig/ivy/ivyCutTrav.o
-> ABC: `` Compiling: /src/aig/ivy/ivyDfs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c -o src/aig/ivy/ivyDfs.o
-> ABC: `` Compiling: /src/aig/ivy/ivyDsd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c -o src/aig/ivy/ivyDsd.o
-> ABC: `` Compiling: /src/aig/ivy/ivyFanout.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c -o src/aig/ivy/ivyFanout.o
-> ABC: `` Compiling: /src/aig/ivy/ivyFastMap.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c -o src/aig/ivy/ivyFastMap.o
-> ABC: `` Compiling: /src/aig/ivy/ivyFraig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c -o src/aig/ivy/ivyFraig.o
-> ABC: `` Compiling: /src/aig/ivy/ivyHaig.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c -o src/aig/ivy/ivyHaig.o
-> ABC: `` Compiling: /src/aig/ivy/ivyMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c -o src/aig/ivy/ivyMan.o
-> ABC: `` Compiling: /src/aig/ivy/ivyMem.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c -o src/aig/ivy/ivyMem.o
-> ABC: `` Compiling: /src/aig/ivy/ivyMulti.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c -o src/aig/ivy/ivyMulti.o
-> ABC: `` Compiling: /src/aig/ivy/ivyObj.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c -o src/aig/ivy/ivyObj.o
-> ABC: `` Compiling: /src/aig/ivy/ivyOper.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c -o src/aig/ivy/ivyOper.o
src/aig/ivy/ivyFastMap.c: In function ‘abc::Ivy_FastMapNodeArea2(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)’:
src/aig/ivy/ivyFastMap.c:400:25: warning: array subscript 0 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  400 |         pSupp0->pArray[0] = Ivy_ObjFaninId0(pObj);
      |         ~~~~~~~~~~~~~~~~^
src/aig/ivy/ivyFastMap.c:52:17: note: while referencing ‘pArray’
   52 |     int         pArray[0]; // the support nodes
      |                 ^~~~~~
src/aig/ivy/ivyFastMap.c:407:25: warning: array subscript 0 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  407 |         pSupp1->pArray[0] = Ivy_ObjFaninId1(pObj);
      |         ~~~~~~~~~~~~~~~~^
src/aig/ivy/ivyFastMap.c:52:17: note: while referencing ‘pArray’
   52 |     int         pArray[0]; // the support nodes
      |                 ^~~~~~
-> ABC: `` Compiling: /src/aig/ivy/ivyResyn.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c -o src/aig/ivy/ivyResyn.o
-> ABC: `` Compiling: /src/aig/ivy/ivyRwr.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c -o src/aig/ivy/ivyRwr.o
In function ‘abc::Ivy_FastMapNodeArea(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)’,
    inlined from ‘abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)’ at src/aig/ivy/ivyFastMap.c:152:28:
src/aig/ivy/ivyFastMap.c:486:25: warning: array subscript 0 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  486 |         pSupp0->pArray[0] = Ivy_ObjFaninId0(pObj);
      |         ~~~~~~~~~~~~~~~~^
src/aig/ivy/ivyFastMap.c: In function ‘abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)’:
src/aig/ivy/ivyFastMap.c:52:17: note: while referencing ‘pArray’
   52 |     int         pArray[0]; // the support nodes
      |                 ^~~~~~
In function ‘abc::Ivy_FastMapNodeArea(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)’,
    inlined from ‘abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)’ at src/aig/ivy/ivyFastMap.c:152:28:
src/aig/ivy/ivyFastMap.c:494:25: warning: array subscript 0 is outside array bounds of ‘int[0:18446744073709551615]’ [-Warray-bounds=]
  494 |         pSupp1->pArray[0] = Ivy_ObjFaninId1(pObj);
      |         ~~~~~~~~~~~~~~~~^
src/aig/ivy/ivyFastMap.c: In function ‘abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)’:
src/aig/ivy/ivyFastMap.c:52:17: note: while referencing ‘pArray’
   52 |     int         pArray[0]; // the support nodes
      |                 ^~~~~~
-> ABC: `` Compiling: /src/aig/ivy/ivySeq.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c -o src/aig/ivy/ivySeq.o
-> ABC: `` Compiling: /src/aig/ivy/ivyShow.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c -o src/aig/ivy/ivyShow.o
-> ABC: `` Compiling: /src/aig/ivy/ivyTable.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c -o src/aig/ivy/ivyTable.o
-> ABC: `` Compiling: /src/aig/ivy/ivyUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c -o src/aig/ivy/ivyUtil.o
-> ABC: `` Compiling: /src/aig/hop/hopBalance.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c -o src/aig/hop/hopBalance.o
-> ABC: `` Compiling: /src/aig/hop/hopCheck.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c -o src/aig/hop/hopCheck.o
-> ABC: `` Compiling: /src/aig/hop/hopDfs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c -o src/aig/hop/hopDfs.o
-> ABC: `` Compiling: /src/aig/hop/hopMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c -o src/aig/hop/hopMan.o
-> ABC: `` Compiling: /src/aig/hop/hopMem.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c -o src/aig/hop/hopMem.o
-> ABC: `` Compiling: /src/aig/hop/hopObj.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c -o src/aig/hop/hopObj.o
-> ABC: `` Compiling: /src/aig/hop/hopOper.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c -o src/aig/hop/hopOper.o
-> ABC: `` Compiling: /src/aig/hop/hopTable.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c -o src/aig/hop/hopTable.o
-> ABC: `` Compiling: /src/aig/hop/hopTruth.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c -o src/aig/hop/hopTruth.o
-> ABC: `` Compiling: /src/aig/hop/hopUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c -o src/aig/hop/hopUtil.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddAPI.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c -o src/bdd/cudd/cuddAPI.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddAddAbs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c -o src/bdd/cudd/cuddAddAbs.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddAddApply.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c -o src/bdd/cudd/cuddAddApply.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddAddFind.c
-> ABC: `` Compiling: /src/bdd/cudd/cuddAddInv.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c -o src/bdd/cudd/cuddAddFind.o
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c -o src/bdd/cudd/cuddAddInv.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddAddIte.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c -o src/bdd/cudd/cuddAddIte.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddAddNeg.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c -o src/bdd/cudd/cuddAddNeg.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddAddWalsh.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c -o src/bdd/cudd/cuddAddWalsh.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddAndAbs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c -o src/bdd/cudd/cuddAndAbs.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddAnneal.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c -o src/bdd/cudd/cuddAnneal.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddApa.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c -o src/bdd/cudd/cuddApa.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddApprox.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c -o src/bdd/cudd/cuddApprox.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddBddAbs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c -o src/bdd/cudd/cuddBddAbs.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddBddCorr.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c -o src/bdd/cudd/cuddBddCorr.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddBddIte.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c -o src/bdd/cudd/cuddBddIte.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddBridge.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c -o src/bdd/cudd/cuddBridge.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddCache.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c -o src/bdd/cudd/cuddCache.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddCheck.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c -o src/bdd/cudd/cuddCheck.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddClip.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c -o src/bdd/cudd/cuddClip.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddCof.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c -o src/bdd/cudd/cuddCof.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddCompose.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c -o src/bdd/cudd/cuddCompose.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddDecomp.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c -o src/bdd/cudd/cuddDecomp.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddEssent.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c -o src/bdd/cudd/cuddEssent.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddExact.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c -o src/bdd/cudd/cuddExact.o
src/bdd/cudd/cuddBddIte.c: In function ‘int abc::bddVarToCanonical(DdManager*, DdNode**, DdNode**, DdNode**, unsigned int*, unsigned int*, unsigned int*)’:
src/bdd/cudd/cuddBddIte.c:1206:34: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
 1206 |     register DdNode             *F, *G, *H, *r, *f, *g, *h;
      |                                  ^
src/bdd/cudd/cuddBddIte.c:1206:38: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
 1206 |     register DdNode             *F, *G, *H, *r, *f, *g, *h;
      |                                      ^
src/bdd/cudd/cuddBddIte.c:1206:42: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
 1206 |     register DdNode             *F, *G, *H, *r, *f, *g, *h;
      |                                          ^
src/bdd/cudd/cuddBddIte.c:1206:46: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
 1206 |     register DdNode             *F, *G, *H, *r, *f, *g, *h;
      |                                              ^
src/bdd/cudd/cuddBddIte.c:1206:50: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
 1206 |     register DdNode             *F, *G, *H, *r, *f, *g, *h;
      |                                                  ^
src/bdd/cudd/cuddBddIte.c:1206:54: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
 1206 |     register DdNode             *F, *G, *H, *r, *f, *g, *h;
      |                                                      ^
src/bdd/cudd/cuddBddIte.c:1206:58: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
 1206 |     register DdNode             *F, *G, *H, *r, *f, *g, *h;
      |                                                          ^
src/bdd/cudd/cuddBddIte.c:1207:33: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
 1207 |     register unsigned int       topf, topg, toph;
      |                                 ^~~~
src/bdd/cudd/cuddBddIte.c:1207:39: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
 1207 |     register unsigned int       topf, topg, toph;
      |                                       ^~~~
src/bdd/cudd/cuddBddIte.c:1207:45: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
 1207 |     register unsigned int       topf, topg, toph;
      |                                             ^~~~
src/bdd/cudd/cuddBddIte.c: In function ‘int abc::bddVarToCanonicalSimple(DdManager*, DdNode**, DdNode**, DdNode**, unsigned int*, unsigned int*, unsigned int*)’:
src/bdd/cudd/cuddBddIte.c:1308:34: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
 1308 |     register DdNode             *r, *f, *g, *h;
      |                                  ^
src/bdd/cudd/cuddBddIte.c:1308:38: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
 1308 |     register DdNode             *r, *f, *g, *h;
      |                                      ^
src/bdd/cudd/cuddBddIte.c:1308:42: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
 1308 |     register DdNode             *r, *f, *g, *h;
      |                                          ^
src/bdd/cudd/cuddBddIte.c:1308:46: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
 1308 |     register DdNode             *r, *f, *g, *h;
      |                                              ^
-> ABC: `` Compiling: /src/bdd/cudd/cuddExport.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c -o src/bdd/cudd/cuddExport.o
src/bdd/cudd/cuddCache.c: In function ‘void abc::cuddCacheInsert(DdManager*, ptruint, DdNode*, DdNode*, DdNode*, DdNode*)’:
src/bdd/cudd/cuddCache.c:232:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
  232 |     register DdCache *entry;
      |                       ^~~~~
src/bdd/cudd/cuddCache.c: In function ‘void abc::cuddCacheInsert2(DdManager*, DD_CTFP, DdNode*, DdNode*, DdNode*)’:
src/bdd/cudd/cuddCache.c:286:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
  286 |     register DdCache *entry;
      |                       ^~~~~
src/bdd/cudd/cuddCache.c: In function ‘void abc::cuddCacheInsert1(DdManager*, DD_CTFP1, DdNode*, DdNode*)’:
src/bdd/cudd/cuddCache.c:331:23: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
  331 |     register DdCache *entry;
      |                       ^~~~~
-> ABC: `` Compiling: /src/bdd/cudd/cuddGenCof.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c -o src/bdd/cudd/cuddGenCof.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddGenetic.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c -o src/bdd/cudd/cuddGenetic.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddGroup.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c -o src/bdd/cudd/cuddGroup.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddHarwell.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c -o src/bdd/cudd/cuddHarwell.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddInit.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c -o src/bdd/cudd/cuddInit.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddInteract.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c -o src/bdd/cudd/cuddInteract.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddLCache.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c -o src/bdd/cudd/cuddLCache.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddLevelQ.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c -o src/bdd/cudd/cuddLevelQ.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddLinear.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c -o src/bdd/cudd/cuddLinear.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddLiteral.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c -o src/bdd/cudd/cuddLiteral.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddMatMult.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c -o src/bdd/cudd/cuddMatMult.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddPriority.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c -o src/bdd/cudd/cuddPriority.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddRead.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c -o src/bdd/cudd/cuddRead.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddRef.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c -o src/bdd/cudd/cuddRef.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddReorder.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c -o src/bdd/cudd/cuddReorder.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddSat.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c -o src/bdd/cudd/cuddSat.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddSign.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c -o src/bdd/cudd/cuddSign.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddSolve.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c -o src/bdd/cudd/cuddSolve.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddSplit.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c -o src/bdd/cudd/cuddSplit.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddSubsetHB.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c -o src/bdd/cudd/cuddSubsetHB.o
src/bdd/cudd/cuddSat.c: In function ‘abc::DdNode* abc::Cudd_LargestCube(DdManager*, DdNode*, int*)’:
src/bdd/cudd/cuddSat.c:282:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
  282 |     register    DdNode  *F;
      |                          ^
src/bdd/cudd/cuddSat.c: In function ‘int abc::Cudd_ShortestLength(DdManager*, DdNode*, int*)’:
src/bdd/cudd/cuddSat.c:354:26: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
  354 |     register    DdNode  *F;
      |                          ^
-> ABC: `` Compiling: /src/bdd/cudd/cuddSubsetSP.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c -o src/bdd/cudd/cuddSubsetSP.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddSymmetry.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c -o src/bdd/cudd/cuddSymmetry.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddTable.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c -o src/bdd/cudd/cuddTable.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c -o src/bdd/cudd/cuddUtil.o
src/bdd/cudd/cuddSplit.c: In function ‘double abc::bddAnnotateMintermCount(DdManager*, DdNode*, double, st__table*)’:
src/bdd/cudd/cuddSplit.c:641:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
  641 |     register double min_v,min_nv;
      |                     ^~~~~
src/bdd/cudd/cuddSplit.c:641:27: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
  641 |     register double min_v,min_nv;
      |                           ^~~~~~
src/bdd/cudd/cuddSplit.c:642:21: warning: ISO C++17 does not allow ‘register’ storage class specifier [-Wregister]
  642 |     register double min_N;
      |                     ^~~~~
-> ABC: `` Compiling: /src/bdd/cudd/cuddWindow.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c -o src/bdd/cudd/cuddWindow.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddZddCount.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c -o src/bdd/cudd/cuddZddCount.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddZddFuncs.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c -o src/bdd/cudd/cuddZddFuncs.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddZddGroup.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c -o src/bdd/cudd/cuddZddGroup.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddZddIsop.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c -o src/bdd/cudd/cuddZddIsop.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddZddLin.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c -o src/bdd/cudd/cuddZddLin.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddZddMisc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c -o src/bdd/cudd/cuddZddMisc.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddZddPort.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c -o src/bdd/cudd/cuddZddPort.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddZddReord.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c -o src/bdd/cudd/cuddZddReord.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddZddSetop.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c -o src/bdd/cudd/cuddZddSetop.o
-> ABC: `` Compiling: /src/bdd/cudd/cuddZddSymm.c
-> ABC: `` Compiling: /src/bdd/cudd/cuddZddUtil.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c -o src/bdd/cudd/cuddZddSymm.o
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c -o src/bdd/cudd/cuddZddUtil.o
-> ABC: `` Compiling: /src/bdd/extrab/extraBddAuto.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c -o src/bdd/extrab/extraBddAuto.o
In file included from ./src/misc/vec/vec.h:29,
                 from src/aig/gia/gia.h:34,
                 from src/aig/gia/giaSimBase.c:21:
In function ‘abc::Abc_InfoHasBit(unsigned int*, int)’,
    inlined from ‘abc::Abc_TtPrintBits(unsigned long*, int)’ at ./src/misc/util/utilTruth.h:1557:15,
    inlined from ‘abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)’ at src/aig/gia/giaSimBase.c:3596:24:
./src/misc/util/abc_global.h:299:81: warning: ‘Entry’ may be used uninitialized [-Wmaybe-uninitialized]
  299 | static inline int      Abc_InfoHasBit( unsigned * p, int i )  { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0;         }
      |                                                                         ~~~~~~~~^
src/aig/gia/giaSimBase.c: In function ‘abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)’:
src/aig/gia/giaSimBase.c:3586:10: note: ‘Entry’ declared here
 3586 |     word Entry; int i;
      |          ^~~~~
In function ‘abc::Abc_InfoHasBit(unsigned int*, int)’,
    inlined from ‘abc::Abc_TtPrintBits(unsigned long*, int)’ at ./src/misc/util/utilTruth.h:1557:15,
    inlined from ‘abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)’ at src/aig/gia/giaSimBase.c:3599:24:
./src/misc/util/abc_global.h:299:81: warning: ‘Entry’ may be used uninitialized [-Wmaybe-uninitialized]
  299 | static inline int      Abc_InfoHasBit( unsigned * p, int i )  { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0;         }
      |                                                                         ~~~~~~~~^
src/aig/gia/giaSimBase.c: In function ‘abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)’:
src/aig/gia/giaSimBase.c:3586:10: note: ‘Entry’ declared here
 3586 |     word Entry; int i;
      |          ^~~~~
-> ABC: `` Compiling: /src/bdd/extrab/extraBddCas.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c -o src/bdd/extrab/extraBddCas.o
-> ABC: `` Compiling: /src/bdd/extrab/extraBddImage.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c -o src/bdd/extrab/extraBddImage.o
-> ABC: `` Compiling: /src/bdd/extrab/extraBddKmap.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c -o src/bdd/extrab/extraBddKmap.o
-> ABC: `` Compiling: /src/bdd/extrab/extraBddMaxMin.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c -o src/bdd/extrab/extraBddMaxMin.o
-> ABC: `` Compiling: /src/bdd/extrab/extraBddMisc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c -o src/bdd/extrab/extraBddMisc.o
-> ABC: `` Compiling: /src/bdd/extrab/extraBddSet.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c -o src/bdd/extrab/extraBddSet.o
-> ABC: `` Compiling: /src/bdd/extrab/extraBddSymm.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c -o src/bdd/extrab/extraBddSymm.o
-> ABC: `` Compiling: /src/bdd/extrab/extraBddThresh.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c -o src/bdd/extrab/extraBddThresh.o
-> ABC: `` Compiling: /src/bdd/extrab/extraBddTime.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c -o src/bdd/extrab/extraBddTime.o
-> ABC: `` Compiling: /src/bdd/extrab/extraBddUnate.c
-> ABC: `` Compiling: /src/bdd/dsd/dsdApi.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c -o src/bdd/extrab/extraBddUnate.o
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c -o src/bdd/dsd/dsdApi.o
-> ABC: `` Compiling: /src/bdd/dsd/dsdCheck.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c -o src/bdd/dsd/dsdCheck.o
-> ABC: `` Compiling: /src/bdd/dsd/dsdLocal.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c -o src/bdd/dsd/dsdLocal.o
-> ABC: `` Compiling: /src/bdd/dsd/dsdMan.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c -o src/bdd/dsd/dsdMan.o
-> ABC: `` Compiling: /src/bdd/dsd/dsdProc.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c -o src/bdd/dsd/dsdProc.o
-> ABC: `` Compiling: /src/bdd/dsd/dsdTree.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c -o src/bdd/dsd/dsdTree.o
-> ABC: `` Compiling: /src/bdd/epd/epd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c -o src/bdd/epd/epd.o
-> ABC: `` Compiling: /src/bdd/mtr/mtrBasic.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c -o src/bdd/mtr/mtrBasic.o
-> ABC: `` Compiling: /src/bdd/mtr/mtrGroup.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c -o src/bdd/mtr/mtrGroup.o
-> ABC: `` Compiling: /src/bdd/reo/reoApi.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c -o src/bdd/reo/reoApi.o
-> ABC: `` Compiling: /src/bdd/reo/reoCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c -o src/bdd/reo/reoCore.o
-> ABC: `` Compiling: /src/bdd/reo/reoProfile.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c -o src/bdd/reo/reoProfile.o
-> ABC: `` Compiling: /src/bdd/reo/reoShuffle.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c -o src/bdd/reo/reoShuffle.o
-> ABC: `` Compiling: /src/bdd/reo/reoSift.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c -o src/bdd/reo/reoSift.o
-> ABC: `` Compiling: /src/bdd/reo/reoSwap.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c -o src/bdd/reo/reoSwap.o
-> ABC: `` Compiling: /src/bdd/reo/reoTransfer.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c -o src/bdd/reo/reoTransfer.o
-> ABC: `` Compiling: /src/bdd/reo/reoUnits.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c -o src/bdd/reo/reoUnits.o
-> ABC: `` Compiling: /src/bdd/cas/casCore.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c -o src/bdd/cas/casCore.o
-> ABC: `` Compiling: /src/bdd/cas/casDec.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c -o src/bdd/cas/casDec.o
-> ABC: `` Compiling: /src/bdd/bbr/bbrCex.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c -o src/bdd/bbr/bbrCex.o
-> ABC: `` Compiling: /src/bdd/bbr/bbrImage.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c -o src/bdd/bbr/bbrImage.o
-> ABC: `` Compiling: /src/bdd/bbr/bbrNtbdd.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c -o src/bdd/bbr/bbrNtbdd.o
-> ABC: `` Compiling: /src/bdd/bbr/bbrReach.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c -o src/bdd/bbr/bbrReach.o
-> ABC: `` Compiling: /src/bdd/llb/llb1Cluster.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c -o src/bdd/llb/llb1Cluster.o
-> ABC: `` Compiling: /src/bdd/llb/llb1Constr.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c -o src/bdd/llb/llb1Constr.o
-> ABC: `` Compiling: /src/bdd/llb/llb1Core.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c -o src/bdd/llb/llb1Core.o
-> ABC: `` Compiling: /src/bdd/llb/llb1Group.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c -o src/bdd/llb/llb1Group.o
-> ABC: `` Compiling: /src/bdd/llb/llb1Hint.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c -o src/bdd/llb/llb1Hint.o
-> ABC: `` Compiling: /src/bdd/llb/llb1Man.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c -o src/bdd/llb/llb1Man.o
-> ABC: `` Compiling: /src/bdd/llb/llb1Matrix.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c -o src/bdd/llb/llb1Matrix.o
-> ABC: `` Compiling: /src/bdd/llb/llb1Pivot.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c -o src/bdd/llb/llb1Pivot.o
-> ABC: `` Compiling: /src/bdd/llb/llb1Reach.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c -o src/bdd/llb/llb1Reach.o
-> ABC: `` Compiling: /src/bdd/llb/llb1Sched.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c -o src/bdd/llb/llb1Sched.o
-> ABC: `` Compiling: /src/bdd/llb/llb2Bad.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c -o src/bdd/llb/llb2Bad.o
-> ABC: `` Compiling: /src/bdd/llb/llb2Core.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c -o src/bdd/llb/llb2Core.o
-> ABC: `` Compiling: /src/bdd/llb/llb2Driver.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c -o src/bdd/llb/llb2Driver.o
-> ABC: `` Compiling: /src/bdd/llb/llb2Dump.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c -o src/bdd/llb/llb2Dump.o
-> ABC: `` Compiling: /src/bdd/llb/llb2Flow.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c -o src/bdd/llb/llb2Flow.o
-> ABC: `` Compiling: /src/bdd/llb/llb2Image.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c -o src/bdd/llb/llb2Image.o
-> ABC: `` Compiling: /src/bdd/llb/llb3Image.c
-> ABC: `` Compiling: /src/bdd/llb/llb3Nonlin.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c -o src/bdd/llb/llb3Image.o
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c -o src/bdd/llb/llb3Nonlin.o
-> ABC: `` Compiling: /src/bdd/llb/llb4Cex.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c -o src/bdd/llb/llb4Cex.o
-> ABC: `` Compiling: /src/bdd/llb/llb4Image.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c -o src/bdd/llb/llb4Image.o
-> ABC: `` Compiling: /src/bdd/llb/llb4Nonlin.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c -o src/bdd/llb/llb4Nonlin.o
-> ABC: `` Compiling: /src/bdd/llb/llb4Sweep.c
gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H  -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c -o src/bdd/llb/llb4Sweep.o
src/bdd/llb/llb2Dump.c: In function ‘abc::Llb_ManDumpReached(abc::DdManager*, abc::DdNode*, char*, char*)’:
src/bdd/llb/llb2Dump.c:48:25: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=]
   48 |     sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num );
      |                         ^~~~
In function ‘abc::Llb_ManGetDummyName(char*, int, int)’,
    inlined from ‘abc::Llb_ManDumpReached(abc::DdManager*, abc::DdNode*, char*, char*)’ at src/bdd/llb/llb2Dump.c:77:36:
src/bdd/llb/llb2Dump.c:48:22: note: directive argument in the range [0, 2147483646]
   48 |     sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num );
      |                      ^~~~~~~~
In file included from /usr/include/stdio.h:906,
                 from src/bdd/llb/llbInt.h:29,
                 from src/bdd/llb/llb2Dump.c:21:
In function ‘sprintf’,
    inlined from ‘abc::Llb_ManGetDummyName(char*, int, int)’ at src/bdd/llb/llb2Dump.c:48:12,
    inlined from ‘abc::Llb_ManDumpReached(abc::DdManager*, abc::DdNode*, char*, char*)’ at src/bdd/llb/llb2Dump.c:77:36:
/usr/include/x86_64-linux-gnu/bits/stdio2.h:30:34: note: ‘__sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 2000
   30 |   return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1,
      |          ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   31 |                                   __glibc_objsize (__s), __fmt,
      |                                   ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
   32 |                                   __va_arg_pack ());
      |                                   ~~~~~~~~~~~~~~~~~
-> ABC: `` Building binary: abc-bb64142
gcc -o abc-bb64142 src/sat/glucose/AbcGlucose.o src/sat/glucose/AbcGlucoseCmd.o src/sat/glucose/Glucose.o src/sat/glucose/Options.o src/sat/glucose/SimpSolver.o src/sat/glucose/System.o src/sat/glucose2/AbcGlucose2.o src/sat/glucose2/AbcGlucoseCmd2.o src/sat/glucose2/Glucose2.o src/sat/glucose2/Options2.o src/sat/glucose2/SimpSolver2.o src/sat/glucose2/System2.o src/aig/gia/giaTransduction.o src/aig/gia/giaTtopt.o src/base/abc/abcAig.o src/base/abc/abcBarBuf.o src/base/abc/abcBlifMv.o src/base/abc/abcCheck.o src/base/abc/abcDfs.o src/base/abc/abcFanio.o src/base/abc/abcFanOrder.o src/base/abc/abcFunc.o src/base/abc/abcHie.o src/base/abc/abcHieCec.o src/base/abc/abcHieGia.o src/base/abc/abcHieNew.o src/base/abc/abcLatch.o src/base/abc/abcLib.o src/base/abc/abcMinBase.o src/base/abc/abcNames.o src/base/abc/abcNetlist.o src/base/abc/abcNtk.o src/base/abc/abcObj.o src/base/abc/abcRefs.o src/base/abc/abcShow.o src/base/abc/abcSop.o src/base/abc/abcUtil.o src/base/abci/abc.o src/base/abci/abcAttach.o src/base/abci/abcAuto.o src/base/abci/abcBalance.o src/base/abci/abcBidec.o src/base/abci/abcBm.o src/base/abci/abcBmc.o src/base/abci/abcCas.o src/base/abci/abcCascade.o src/base/abci/abcCollapse.o src/base/abci/abcCut.o src/base/abci/abcDar.o src/base/abci/abcDebug.o src/base/abci/abcDec.o src/base/abci/abcDetect.o src/base/abci/abcDress.o src/base/abci/abcDress2.o src/base/abci/abcDress3.o src/base/abci/abcDsd.o src/base/abci/abcEco.o src/base/abci/abcExact.o src/base/abci/abcExtract.o src/base/abci/abcFraig.o src/base/abci/abcFx.o src/base/abci/abcFxu.o src/base/abci/abcGen.o src/base/abci/abcHaig.o src/base/abci/abcIf.o src/base/abci/abcIfif.o src/base/abci/abcIfMux.o src/base/abci/abcIvy.o src/base/abci/abcLog.o src/base/abci/abcLut.o src/base/abci/abcLutmin.o src/base/abci/abcMap.o src/base/abci/abcMerge.o src/base/abci/abcMfs.o src/base/abci/abcMini.o src/base/abci/abcMiter.o src/base/abci/abcMulti.o src/base/abci/abcNtbdd.o src/base/abci/abcNpn.o src/base/abci/abcNpnSave.o src/base/abci/abcOdc.o src/base/abci/abcOrder.o src/base/abci/abcPart.o src/base/abci/abcPrint.o src/base/abci/abcProve.o src/base/abci/abcQbf.o src/base/abci/abcQuant.o src/base/abci/abcRec3.o src/base/abci/abcReconv.o src/base/abci/abcReach.o src/base/abci/abcRefactor.o src/base/abci/abcRenode.o src/base/abci/abcReorder.o src/base/abci/abcRestruct.o src/base/abci/abcResub.o src/base/abci/abcRewrite.o src/base/abci/abcRpo.o src/base/abci/abcRr.o src/base/abci/abcRunGen.o src/base/abci/abcSat.o src/base/abci/abcSaucy.o src/base/abci/abcScorr.o src/base/abci/abcSense.o src/base/abci/abcSpeedup.o src/base/abci/abcStrash.o src/base/abci/abcSweep.o src/base/abci/abcSymm.o src/base/abci/abcTim.o src/base/abci/abcTiming.o src/base/abci/abcUnate.o src/base/abci/abcUnreach.o src/base/abci/abcVerify.o src/base/abci/abcXsim.o src/base/cmd/cmd.o src/base/cmd/cmdAlias.o src/base/cmd/cmdApi.o src/base/cmd/cmdAuto.o src/base/cmd/cmdFlag.o src/base/cmd/cmdHist.o src/base/cmd/cmdLoad.o src/base/cmd/cmdPlugin.o src/base/cmd/cmdStarter.o src/base/cmd/cmdUtils.o src/base/io/io.o src/base/io/ioJson.o src/base/io/ioReadAiger.o src/base/io/ioReadBaf.o src/base/io/ioReadBblif.o src/base/io/ioReadBench.o src/base/io/ioReadBlif.o src/base/io/ioReadBlifAig.o src/base/io/ioReadBlifMv.o src/base/io/ioReadDsd.o src/base/io/ioReadEdif.o src/base/io/ioReadEqn.o src/base/io/ioReadPla.o src/base/io/ioReadPlaMo.o src/base/io/ioReadVerilog.o src/base/io/ioUtil.o src/base/io/ioWriteAiger.o src/base/io/ioWriteBaf.o src/base/io/ioWriteBblif.o src/base/io/ioWriteBench.o src/base/io/ioWriteBlif.o src/base/io/ioWriteBlifMv.o src/base/io/ioWriteBook.o src/base/io/ioWriteCnf.o src/base/io/ioWriteDot.o src/base/io/ioWriteEqn.o src/base/io/ioWriteEdgelist.o src/base/io/ioWriteGml.o src/base/io/ioWriteList.o src/base/io/ioWritePla.o src/base/io/ioWriteVerilog.o src/base/io/ioWriteSmv.o src/base/main/main.o src/base/main/mainFrame.o src/base/main/mainInit.o src/base/main/mainLib.o src/base/main/mainReal.o src/base/main/libSupport.o src/base/main/mainUtils.o src/base/exor/exor.o src/base/exor/exorBits.o src/base/exor/exorCubes.o src/base/exor/exorLink.o src/base/exor/exorList.o src/base/exor/exorUtil.o src/base/ver/verCore.o src/base/ver/verFormula.o src/base/ver/verParse.o src/base/ver/verStream.o src/base/wlc/wlcAbs.o src/base/wlc/wlcAbs2.o src/base/wlc/wlcAbc.o src/base/wlc/wlcPth.o src/base/wlc/wlcBlast.o src/base/wlc/wlcCom.o src/base/wlc/wlcGraft.o src/base/wlc/wlcJson.o src/base/wlc/wlcMem.o src/base/wlc/wlcNdr.o src/base/wlc/wlcNtk.o src/base/wlc/wlcReadSmt.o src/base/wlc/wlcReadVer.o src/base/wlc/wlcSim.o src/base/wlc/wlcShow.o src/base/wlc/wlcStdin.o src/base/wlc/wlcUif.o src/base/wlc/wlcWin.o src/base/wlc/wlcWriteVer.o src/base/wln/wln.o src/base/wln/wlnBlast.o src/base/wln/wlnCom.o src/base/wln/wlnGuide.o src/base/wln/wlnMem.o src/base/wln/wlnNdr.o src/base/wln/wlnNtk.o src/base/wln/wlnObj.o src/base/wln/wlnRead.o src/base/wln/wlnRetime.o src/base/wln/wlnRtl.o src/base/wln/wlnWlc.o src/base/wln/wlnWriteVer.o src/base/acb/acbAbc.o src/base/acb/acbAig.o src/base/acb/acbCom.o src/base/acb/acbFunc.o src/base/acb/acbMfs.o src/base/acb/acbPush.o src/base/acb/acbSets.o src/base/acb/acbTest.o src/base/acb/acbUtil.o src/base/bac/bacBlast.o src/base/bac/bacBac.o src/base/bac/bacCom.o src/base/bac/bacLib.o src/base/bac/bacNtk.o src/base/bac/bacPrsBuild.o src/base/bac/bacPrsTrans.o src/base/bac/bacPtr.o src/base/bac/bacPtrAbc.o src/base/bac/bacReadBlif.o src/base/bac/bacReadSmt.o src/base/bac/bacReadVer.o src/base/bac/bacWriteBlif.o src/base/bac/bacWriteSmt.o src/base/bac/bacWriteVer.o src/base/cba/cbaBlast.o src/base/cba/cbaCba.o src/base/cba/cbaCom.o src/base/cba/cbaNtk.o src/base/cba/cbaReadBlif.o src/base/cba/cbaReadVer.o src/base/cba/cbaWriteBlif.o src/base/cba/cbaWriteVer.o src/base/pla/plaCom.o src/base/pla/plaHash.o src/base/pla/plaMan.o src/base/pla/plaMerge.o src/base/pla/plaSimple.o src/base/pla/plaRead.o src/base/pla/plaWrite.o src/base/test/test.o src/map/mapper/mapper.o src/map/mapper/mapperCanon.o src/map/mapper/mapperCore.o src/map/mapper/mapperCreate.o src/map/mapper/mapperCut.o src/map/mapper/mapperCutUtils.o src/map/mapper/mapperLib.o src/map/mapper/mapperMatch.o src/map/mapper/mapperRefs.o src/map/mapper/mapperSuper.o src/map/mapper/mapperSwitch.o src/map/mapper/mapperTable.o src/map/mapper/mapperTime.o src/map/mapper/mapperTree.o src/map/mapper/mapperTruth.o src/map/mapper/mapperUtils.o src/map/mapper/mapperVec.o src/map/mio/mio.o src/map/mio/mioApi.o src/map/mio/mioFunc.o src/map/mio/mioParse.o src/map/mio/mioRead.o src/map/mio/mioSop.o src/map/mio/mioUtils.o src/map/super/super.o src/map/super/superAnd.o src/map/super/superGate.o src/map/if/ifCom.o src/map/if/ifCache.o src/map/if/ifCore.o src/map/if/ifCut.o src/map/if/ifData2.o src/map/if/ifDec07.o src/map/if/ifDec08.o src/map/if/ifDec10.o src/map/if/ifDec16.o src/map/if/ifDec75.o src/map/if/ifDelay.o src/map/if/ifDsd.o src/map/if/ifLibBox.o src/map/if/ifLibLut.o src/map/if/ifMan.o src/map/if/ifMap.o src/map/if/ifMatch2.o src/map/if/ifReduce.o src/map/if/ifSat.o src/map/if/ifSelect.o src/map/if/ifSeq.o src/map/if/ifTest.o src/map/if/ifTime.o src/map/if/ifTruth.o src/map/if/ifTune.o src/map/if/ifUtil.o src/map/amap/amapCore.o src/map/amap/amapGraph.o src/map/amap/amapLib.o src/map/amap/amapLiberty.o src/map/amap/amapMan.o src/map/amap/amapMatch.o src/map/amap/amapMerge.o src/map/amap/amapOutput.o src/map/amap/amapParse.o src/map/amap/amapPerm.o src/map/amap/amapRead.o src/map/amap/amapRule.o src/map/amap/amapUniq.o src/map/cov/covBuild.o src/map/cov/covCore.o src/map/cov/covMan.o src/map/cov/covMinEsop.o src/map/cov/covMinMan.o src/map/cov/covMinSop.o src/map/cov/covMinUtil.o src/map/scl/scl.o src/map/scl/sclBuffer.o src/map/scl/sclBufSize.o src/map/scl/sclDnsize.o src/map/scl/sclLiberty.o src/map/scl/sclLibScl.o src/map/scl/sclLibUtil.o src/map/scl/sclLoad.o src/map/scl/sclSize.o src/map/scl/sclUpsize.o src/map/scl/sclUtil.o src/map/mpm/mpmAbc.o src/map/mpm/mpmCore.o src/map/mpm/mpmDsd.o src/map/mpm/mpmGates.o src/map/mpm/mpmLib.o src/map/mpm/mpmMan.o src/map/mpm/mpmMap.o src/map/mpm/mpmMig.o src/map/mpm/mpmPre.o src/map/mpm/mpmTruth.o src/map/mpm/mpmUtil.o src/misc/extra/extraUtilBitMatrix.o src/misc/extra/extraUtilCanon.o src/misc/extra/extraUtilCfs.o src/misc/extra/extraUtilCube.o src/misc/extra/extraUtilDsd.o src/misc/extra/extraUtilEnum.o src/misc/extra/extraUtilFile.o src/misc/extra/extraUtilGen.o src/misc/extra/extraUtilMacc.o src/misc/extra/extraUtilMaj.o src/misc/extra/extraUtilMemory.o src/misc/extra/extraUtilMisc.o src/misc/extra/extraUtilMult.o src/misc/extra/extraUtilPath.o src/misc/extra/extraUtilPerm.o src/misc/extra/extraUtilProgress.o src/misc/extra/extraUtilReader.o src/misc/extra/extraUtilSupp.o src/misc/extra/extraUtilTruth.o src/misc/extra/extraUtilUtil.o src/misc/mvc/mvcApi.o src/misc/mvc/mvcCompare.o src/misc/mvc/mvcContain.o src/misc/mvc/mvcCover.o src/misc/mvc/mvcCube.o src/misc/mvc/mvcDivide.o src/misc/mvc/mvcDivisor.o src/misc/mvc/mvcList.o src/misc/mvc/mvcLits.o src/misc/mvc/mvcMan.o src/misc/mvc/mvcOpAlg.o src/misc/mvc/mvcOpBool.o src/misc/mvc/mvcPrint.o src/misc/mvc/mvcSort.o src/misc/mvc/mvcUtils.o src/misc/st/st.o src/misc/st/stmm.o src/misc/util/utilBridge.o src/misc/util/utilCex.o src/misc/util/utilColor.o src/misc/util/utilFile.o src/misc/util/utilIsop.o src/misc/util/utilNam.o src/misc/util/utilSignal.o src/misc/util/utilSort.o src/misc/nm/nmApi.o src/misc/nm/nmTable.o src/misc/tim/timBox.o src/misc/tim/timDump.o src/misc/tim/timMan.o src/misc/tim/timTime.o src/misc/tim/timTrav.o src/misc/mem/mem.o src/misc/bar/bar.o src/misc/bbl/bblif.o src/misc/parse/parseEqn.o src/misc/parse/parseStack.o src/opt/cut/cutApi.o src/opt/cut/cutCut.o src/opt/cut/cutMan.o src/opt/cut/cutMerge.o src/opt/cut/cutNode.o src/opt/cut/cutOracle.o src/opt/cut/cutPre22.o src/opt/cut/cutSeq.o src/opt/cut/cutTruth.o src/opt/fxu/fxu.o src/opt/fxu/fxuCreate.o src/opt/fxu/fxuHeapD.o src/opt/fxu/fxuHeapS.o src/opt/fxu/fxuList.o src/opt/fxu/fxuMatrix.o src/opt/fxu/fxuPair.o src/opt/fxu/fxuPrint.o src/opt/fxu/fxuReduce.o src/opt/fxu/fxuSelect.o src/opt/fxu/fxuSingle.o src/opt/fxu/fxuUpdate.o src/opt/fxch/Fxch.o src/opt/fxch/FxchDiv.o src/opt/fxch/FxchMan.o src/opt/fxch/FxchSCHashTable.o src/opt/rwr/rwrDec.o src/opt/rwr/rwrEva.o src/opt/rwr/rwrExp.o src/opt/rwr/rwrLib.o src/opt/rwr/rwrMan.o src/opt/rwr/rwrPrint.o src/opt/rwr/rwrUtil.o src/opt/mfs/mfsCore.o src/opt/mfs/mfsDiv.o src/opt/mfs/mfsInter.o src/opt/mfs/mfsMan.o src/opt/mfs/mfsResub.o src/opt/mfs/mfsSat.o src/opt/mfs/mfsStrash.o src/opt/mfs/mfsWin.o src/opt/sim/simMan.o src/opt/sim/simSeq.o src/opt/sim/simSupp.o src/opt/sim/simSwitch.o src/opt/sim/simSym.o src/opt/sim/simSymSat.o src/opt/sim/simSymSim.o src/opt/sim/simSymStr.o src/opt/sim/simUtils.o src/opt/ret/retArea.o src/opt/ret/retCore.o src/opt/ret/retDelay.o src/opt/ret/retFlow.o src/opt/ret/retIncrem.o src/opt/ret/retInit.o src/opt/ret/retLvalue.o src/opt/fret/fretMain.o src/opt/fret/fretFlow.o src/opt/fret/fretInit.o src/opt/fret/fretTime.o src/opt/res/resCore.o src/opt/res/resDivs.o src/opt/res/resFilter.o src/opt/res/resSat.o src/opt/res/resSim.o src/opt/res/resStrash.o src/opt/res/resWin.o src/opt/lpk/lpkCore.o src/opt/lpk/lpkAbcDec.o src/opt/lpk/lpkAbcMux.o src/opt/lpk/lpkAbcDsd.o src/opt/lpk/lpkAbcUtil.o src/opt/lpk/lpkCut.o src/opt/lpk/lpkMan.o src/opt/lpk/lpkMap.o src/opt/lpk/lpkMulti.o src/opt/lpk/lpkMux.o src/opt/lpk/lpkSets.o src/opt/nwk/nwkAig.o src/opt/nwk/nwkCheck.o src/opt/nwk/nwkBidec.o src/opt/nwk/nwkDfs.o src/opt/nwk/nwkFanio.o src/opt/nwk/nwkFlow.o src/opt/nwk/nwkMan.o src/opt/nwk/nwkMap.o src/opt/nwk/nwkMerge.o src/opt/nwk/nwkObj.o src/opt/nwk/nwkSpeedup.o src/opt/nwk/nwkStrash.o src/opt/nwk/nwkTiming.o src/opt/nwk/nwkUtil.o src/opt/rwt/rwtDec.o src/opt/rwt/rwtMan.o src/opt/rwt/rwtUtil.o src/opt/cgt/cgtAig.o src/opt/cgt/cgtCore.o src/opt/cgt/cgtDecide.o src/opt/cgt/cgtMan.o src/opt/cgt/cgtSat.o src/opt/csw/cswCore.o src/opt/csw/cswCut.o src/opt/csw/cswMan.o src/opt/csw/cswTable.o src/opt/dar/darBalance.o src/opt/dar/darCore.o src/opt/dar/darCut.o src/opt/dar/darData.o src/opt/dar/darLib.o src/opt/dar/darMan.o src/opt/dar/darPrec.o src/opt/dar/darRefact.o src/opt/dar/darScript.o src/opt/dau/dauCanon.o src/opt/dau/dauCore.o src/opt/dau/dauCount.o src/opt/dau/dauDivs.o src/opt/dau/dauDsd.o src/opt/dau/dauEnum.o src/opt/dau/dauGia.o src/opt/dau/dauMerge.o src/opt/dau/dauNonDsd.o src/opt/dau/dauNpn.o src/opt/dau/dauNpn2.o src/opt/dau/dauTree.o src/opt/dsc/dsc.o src/opt/sfm/sfmArea.o src/opt/sfm/sfmCnf.o src/opt/sfm/sfmCore.o src/opt/sfm/sfmDec.o src/opt/sfm/sfmLib.o src/opt/sfm/sfmNtk.o src/opt/sfm/sfmSat.o src/opt/sfm/sfmTim.o src/opt/sfm/sfmMit.o src/opt/sfm/sfmWin.o src/opt/sbd/sbd.o src/opt/sbd/sbdCnf.o src/opt/sbd/sbdCore.o src/opt/sbd/sbdCut.o src/opt/sbd/sbdCut2.o src/opt/sbd/sbdLut.o src/opt/sbd/sbdPath.o src/opt/sbd/sbdSat.o src/opt/sbd/sbdWin.o src/sat/bsat/satMem.o src/sat/bsat/satInter.o src/sat/bsat/satInterA.o src/sat/bsat/satInterB.o src/sat/bsat/satInterP.o src/sat/bsat/satProof.o src/sat/bsat/satSolver.o src/sat/bsat/satSolver2.o src/sat/bsat/satSolver2i.o src/sat/bsat/satSolver3.o src/sat/bsat/satStore.o src/sat/bsat/satTrace.o src/sat/bsat/satTruth.o src/sat/bsat/satUtil.o src/sat/xsat/xsatSolver.o src/sat/xsat/xsatSolverAPI.o src/sat/xsat/xsatCnfReader.o src/sat/satoko/solver.o src/sat/satoko/solver_api.o src/sat/satoko/cnf_reader.o src/sat/csat/csat_apis.o src/sat/msat/msatActivity.o src/sat/msat/msatClause.o src/sat/msat/msatClauseVec.o src/sat/msat/msatMem.o src/sat/msat/msatOrderH.o src/sat/msat/msatQueue.o src/sat/msat/msatRead.o src/sat/msat/msatSolverApi.o src/sat/msat/msatSolverCore.o src/sat/msat/msatSolverIo.o src/sat/msat/msatSolverSearch.o src/sat/msat/msatSort.o src/sat/msat/msatVec.o src/sat/cnf/cnfCore.o src/sat/cnf/cnfCut.o src/sat/cnf/cnfData.o src/sat/cnf/cnfFast.o src/sat/cnf/cnfMan.o src/sat/cnf/cnfMap.o src/sat/cnf/cnfPost.o src/sat/cnf/cnfUtil.o src/sat/cnf/cnfWrite.o src/sat/bmc/bmcBCore.o src/sat/bmc/bmcBmc.o src/sat/bmc/bmcBmc2.o src/sat/bmc/bmcBmc3.o src/sat/bmc/bmcBmcAnd.o src/sat/bmc/bmcBmci.o src/sat/bmc/bmcBmcG.o src/sat/bmc/bmcBmcS.o src/sat/bmc/bmcCexCare.o src/sat/bmc/bmcCexCut.o src/sat/bmc/bmcCexDepth.o src/sat/bmc/bmcCexMin1.o src/sat/bmc/bmcCexMin2.o src/sat/bmc/bmcCexTools.o src/sat/bmc/bmcChain.o src/sat/bmc/bmcClp.o src/sat/bmc/bmcEco.o src/sat/bmc/bmcExpand.o src/sat/bmc/bmcFault.o src/sat/bmc/bmcFx.o src/sat/bmc/bmcGen.o src/sat/bmc/bmcICheck.o src/sat/bmc/bmcInse.o src/sat/bmc/bmcLoad.o src/sat/bmc/bmcMaj.o src/sat/bmc/bmcMaj2.o src/sat/bmc/bmcMaj3.o src/sat/bmc/bmcMaxi.o src/sat/bmc/bmcMesh.o src/sat/bmc/bmcMesh2.o src/sat/bmc/bmcMulti.o src/sat/bmc/bmcUnroll.o src/bool/bdc/bdcCore.o src/bool/bdc/bdcDec.o src/bool/bdc/bdcSpfd.o src/bool/bdc/bdcTable.o src/bool/dec/decAbc.o src/bool/dec/decFactor.o src/bool/dec/decMan.o src/bool/dec/decPrint.o src/bool/dec/decUtil.o src/bool/kit/kitAig.o src/bool/kit/kitBdd.o src/bool/kit/kitCloud.o src/bool/kit/cloud.o src/bool/kit/kitDsd.o src/bool/kit/kitFactor.o src/bool/kit/kitGraph.o src/bool/kit/kitHop.o src/bool/kit/kitIsop.o src/bool/kit/kitPla.o src/bool/kit/kitSop.o src/bool/kit/kitTruth.o src/bool/lucky/lucky.o src/bool/lucky/luckyFast16.o src/bool/lucky/luckyFast6.o src/bool/lucky/luckyRead.o src/bool/lucky/luckySimple.o src/bool/lucky/luckySwapIJ.o src/bool/lucky/luckySwap.o src/bool/rsb/rsbDec6.o src/bool/rsb/rsbMan.o src/bool/rpo/rpo.o src/proof/pdr/pdrCnf.o src/proof/pdr/pdrCore.o src/proof/pdr/pdrIncr.o src/proof/pdr/pdrInv.o src/proof/pdr/pdrMan.o src/proof/pdr/pdrSat.o src/proof/pdr/pdrTsim.o src/proof/pdr/pdrTsim2.o src/proof/pdr/pdrTsim3.o src/proof/pdr/pdrUtil.o src/proof/abs/absDup.o src/proof/abs/absGla.o src/proof/abs/absGlaOld.o src/proof/abs/absIter.o src/proof/abs/absOldCex.o src/proof/abs/absOldRef.o src/proof/abs/absOldSat.o src/proof/abs/absOldSim.o src/proof/abs/absOut.o src/proof/abs/absPth.o src/proof/abs/absRef.o src/proof/abs/absRefSelect.o src/proof/abs/absRpm.o src/proof/abs/absRpmOld.o src/proof/abs/absVta.o src/proof/abs/absUtil.o src/proof/live/liveness.o src/proof/live/liveness_sim.o src/proof/live/ltl_parser.o src/proof/live/kliveness.o src/proof/live/monotone.o src/proof/live/disjunctiveMonotone.o src/proof/live/arenaViolation.o src/proof/live/kLiveConstraints.o src/proof/live/combination.o src/proof/ssc/sscClass.o src/proof/ssc/sscCore.o src/proof/ssc/sscSat.o src/proof/ssc/sscSim.o src/proof/ssc/sscUtil.o src/proof/int/intCheck.o src/proof/int/intContain.o src/proof/int/intCore.o src/proof/int/intCtrex.o src/proof/int/intDup.o src/proof/int/intFrames.o src/proof/int/intInter.o src/proof/int/intM114.o src/proof/int/intMan.o src/proof/int/intUtil.o src/proof/cec/cecCec.o src/proof/cec/cecChoice.o src/proof/cec/cecClass.o src/proof/cec/cecCore.o src/proof/cec/cecCorr.o src/proof/cec/cecIso.o src/proof/cec/cecMan.o src/proof/cec/cecPat.o src/proof/cec/cecSat.o src/proof/cec/cecSatG.o src/proof/cec/cecSatG2.o src/proof/cec/cecSatG3.o src/proof/cec/cecSeq.o src/proof/cec/cecSim.o src/proof/cec/cecSolve.o src/proof/cec/cecSolveG.o src/proof/cec/cecSplit.o src/proof/cec/cecSynth.o src/proof/cec/cecSweep.o src/proof/acec/acecCl.o src/proof/acec/acecCore.o src/proof/acec/acecCo.o src/proof/acec/acecBo.o src/proof/acec/acecRe.o src/proof/acec/acecPa.o src/proof/acec/acecPo.o src/proof/acec/acecPool.o src/proof/acec/acecCover.o src/proof/acec/acecFadds.o src/proof/acec/acecMult.o src/proof/acec/acecNorm.o src/proof/acec/acecOrder.o src/proof/acec/acecPolyn.o src/proof/acec/acecSt.o src/proof/acec/acecTree.o src/proof/acec/acecUtil.o src/proof/acec/acec2Mult.o src/proof/acec/acecXor.o src/proof/dch/dchAig.o src/proof/dch/dchChoice.o src/proof/dch/dchClass.o src/proof/dch/dchCnf.o src/proof/dch/dchCore.o src/proof/dch/dchMan.o src/proof/dch/dchSat.o src/proof/dch/dchSim.o src/proof/dch/dchSimSat.o src/proof/dch/dchSweep.o src/proof/fraig/fraigApi.o src/proof/fraig/fraigCanon.o src/proof/fraig/fraigFanout.o src/proof/fraig/fraigFeed.o src/proof/fraig/fraigMan.o src/proof/fraig/fraigMem.o src/proof/fraig/fraigNode.o src/proof/fraig/fraigPrime.o src/proof/fraig/fraigSat.o src/proof/fraig/fraigTable.o src/proof/fraig/fraigUtil.o src/proof/fraig/fraigVec.o src/proof/fra/fraBmc.o src/proof/fra/fraCec.o src/proof/fra/fraClass.o src/proof/fra/fraClau.o src/proof/fra/fraClaus.o src/proof/fra/fraCnf.o src/proof/fra/fraCore.o src/proof/fra/fraHot.o src/proof/fra/fraImp.o src/proof/fra/fraInd.o src/proof/fra/fraIndVer.o src/proof/fra/fraLcr.o src/proof/fra/fraMan.o src/proof/fra/fraPart.o src/proof/fra/fraSat.o src/proof/fra/fraSec.o src/proof/fra/fraSim.o src/proof/ssw/sswAig.o src/proof/ssw/sswBmc.o src/proof/ssw/sswClass.o src/proof/ssw/sswCnf.o src/proof/ssw/sswConstr.o src/proof/ssw/sswCore.o src/proof/ssw/sswDyn.o src/proof/ssw/sswFilter.o src/proof/ssw/sswIslands.o src/proof/ssw/sswLcorr.o src/proof/ssw/sswMan.o src/proof/ssw/sswPart.o src/proof/ssw/sswPairs.o src/proof/ssw/sswRarity.o src/proof/ssw/sswSat.o src/proof/ssw/sswSemi.o src/proof/ssw/sswSim.o src/proof/ssw/sswSimSat.o src/proof/ssw/sswSweep.o src/proof/ssw/sswUnique.o src/aig/aig/aigCheck.o src/aig/aig/aigCanon.o src/aig/aig/aigCuts.o src/aig/aig/aigDfs.o src/aig/aig/aigDup.o src/aig/aig/aigFanout.o src/aig/aig/aigFrames.o src/aig/aig/aigInter.o src/aig/aig/aigJust.o src/aig/aig/aigMan.o src/aig/aig/aigMem.o src/aig/aig/aigMffc.o src/aig/aig/aigObj.o src/aig/aig/aigOper.o src/aig/aig/aigOrder.o src/aig/aig/aigPack.o src/aig/aig/aigPart.o src/aig/aig/aigPartReg.o src/aig/aig/aigPartSat.o src/aig/aig/aigRepr.o src/aig/aig/aigRet.o src/aig/aig/aigRetF.o src/aig/aig/aigScl.o src/aig/aig/aigShow.o src/aig/aig/aigSplit.o src/aig/aig/aigTable.o src/aig/aig/aigTiming.o src/aig/aig/aigTruth.o src/aig/aig/aigTsim.o src/aig/aig/aigUtil.o src/aig/aig/aigWin.o src/aig/saig/saigCone.o src/aig/saig/saigConstr.o src/aig/saig/saigConstr2.o src/aig/saig/saigDual.o src/aig/saig/saigDup.o src/aig/saig/saigInd.o src/aig/saig/saigIoa.o src/aig/saig/saigIso.o src/aig/saig/saigIsoFast.o src/aig/saig/saigIsoSlow.o src/aig/saig/saigMiter.o src/aig/saig/saigOutDec.o src/aig/saig/saigPhase.o src/aig/saig/saigRetFwd.o src/aig/saig/saigRetMin.o src/aig/saig/saigRetStep.o src/aig/saig/saigScl.o src/aig/saig/saigSimFast.o src/aig/saig/saigSimMv.o src/aig/saig/saigSimSeq.o src/aig/saig/saigStrSim.o src/aig/saig/saigSwitch.o src/aig/saig/saigSynch.o src/aig/saig/saigTempor.o src/aig/saig/saigTrans.o src/aig/saig/saigWnd.o src/aig/gia/giaAig.o src/aig/gia/giaAgi.o src/aig/gia/giaAiger.o src/aig/gia/giaAigerExt.o src/aig/gia/giaBalAig.o src/aig/gia/giaBalLut.o src/aig/gia/giaBalMap.o src/aig/gia/giaBidec.o src/aig/gia/giaCCof.o src/aig/gia/giaCex.o src/aig/gia/giaClp.o src/aig/gia/giaCof.o src/aig/gia/giaCone.o src/aig/gia/giaCSatOld.o src/aig/gia/giaCSat.o src/aig/gia/giaCSat2.o src/aig/gia/giaCSat3.o src/aig/gia/giaCSatP.o src/aig/gia/giaCTas.o src/aig/gia/giaCut.o src/aig/gia/giaDecs.o src/aig/gia/giaDeep.o src/aig/gia/giaDfs.o src/aig/gia/giaDup.o src/aig/gia/giaEdge.o src/aig/gia/giaEmbed.o src/aig/gia/giaEnable.o src/aig/gia/giaEquiv.o src/aig/gia/giaEra.o src/aig/gia/giaEra2.o src/aig/gia/giaEsop.o src/aig/gia/giaExist.o src/aig/gia/giaFalse.o src/aig/gia/giaFanout.o src/aig/gia/giaForce.o src/aig/gia/giaFrames.o src/aig/gia/giaFront.o src/aig/gia/giaFx.o src/aig/gia/giaGen.o src/aig/gia/giaGig.o src/aig/gia/giaGlitch.o src/aig/gia/giaHash.o src/aig/gia/giaIf.o src/aig/gia/giaIff.o src/aig/gia/giaIiff.o src/aig/gia/giaIso.o src/aig/gia/giaIso2.o src/aig/gia/giaIso3.o src/aig/gia/giaJf.o src/aig/gia/giaKf.o src/aig/gia/giaLf.o src/aig/gia/giaMf.o src/aig/gia/giaMan.o src/aig/gia/giaMem.o src/aig/gia/giaMfs.o src/aig/gia/giaMini.o src/aig/gia/giaMinLut.o src/aig/gia/giaMinLut2.o src/aig/gia/giaMuxes.o src/aig/gia/giaNf.o src/aig/gia/giaOf.o src/aig/gia/giaPack.o src/aig/gia/giaPat.o src/aig/gia/giaPat2.o src/aig/gia/giaPf.o src/aig/gia/giaQbf.o src/aig/gia/giaReshape1.o src/aig/gia/giaReshape2.o src/aig/gia/giaResub.o src/aig/gia/giaResub2.o src/aig/gia/giaResub3.o src/aig/gia/giaResub6.o src/aig/gia/giaRetime.o src/aig/gia/giaRex.o src/aig/gia/giaSatEdge.o src/aig/gia/giaSatLE.o src/aig/gia/giaSatLut.o src/aig/gia/giaSatMap.o src/aig/gia/giaSatoko.o src/aig/gia/giaSatSyn.o src/aig/gia/giaSat3.o src/aig/gia/giaScl.o src/aig/gia/giaScript.o src/aig/gia/giaShow.o src/aig/gia/giaShrink.o src/aig/gia/giaShrink6.o src/aig/gia/giaShrink7.o src/aig/gia/giaSif.o src/aig/gia/giaSim.o src/aig/gia/giaSim2.o src/aig/gia/giaSimBase.o src/aig/gia/giaSort.o src/aig/gia/giaSpeedup.o src/aig/gia/giaSplit.o src/aig/gia/giaStg.o src/aig/gia/giaStoch.o src/aig/gia/giaStr.o src/aig/gia/giaSupMin.o src/aig/gia/giaSupp.o src/aig/gia/giaSupps.o src/aig/gia/giaSweep.o src/aig/gia/giaSweeper.o src/aig/gia/giaSwitch.o src/aig/gia/giaTim.o src/aig/gia/giaTis.o src/aig/gia/giaTranStoch.o src/aig/gia/giaTruth.o src/aig/gia/giaTsim.o src/aig/gia/giaUnate.o src/aig/gia/giaUtil.o src/aig/ioa/ioaReadAig.o src/aig/ioa/ioaWriteAig.o src/aig/ioa/ioaUtil.o src/aig/ivy/ivyBalance.o src/aig/ivy/ivyCanon.o src/aig/ivy/ivyCheck.o src/aig/ivy/ivyCut.o src/aig/ivy/ivyCutTrav.o src/aig/ivy/ivyDfs.o src/aig/ivy/ivyDsd.o src/aig/ivy/ivyFanout.o src/aig/ivy/ivyFastMap.o src/aig/ivy/ivyFraig.o src/aig/ivy/ivyHaig.o src/aig/ivy/ivyMan.o src/aig/ivy/ivyMem.o src/aig/ivy/ivyMulti.o src/aig/ivy/ivyObj.o src/aig/ivy/ivyOper.o src/aig/ivy/ivyResyn.o src/aig/ivy/ivyRwr.o src/aig/ivy/ivySeq.o src/aig/ivy/ivyShow.o src/aig/ivy/ivyTable.o src/aig/ivy/ivyUtil.o src/aig/hop/hopBalance.o src/aig/hop/hopCheck.o src/aig/hop/hopDfs.o src/aig/hop/hopMan.o src/aig/hop/hopMem.o src/aig/hop/hopObj.o src/aig/hop/hopOper.o src/aig/hop/hopTable.o src/aig/hop/hopTruth.o src/aig/hop/hopUtil.o src/bdd/cudd/cuddAPI.o src/bdd/cudd/cuddAddAbs.o src/bdd/cudd/cuddAddApply.o src/bdd/cudd/cuddAddFind.o src/bdd/cudd/cuddAddInv.o src/bdd/cudd/cuddAddIte.o src/bdd/cudd/cuddAddNeg.o src/bdd/cudd/cuddAddWalsh.o src/bdd/cudd/cuddAndAbs.o src/bdd/cudd/cuddAnneal.o src/bdd/cudd/cuddApa.o src/bdd/cudd/cuddApprox.o src/bdd/cudd/cuddBddAbs.o src/bdd/cudd/cuddBddCorr.o src/bdd/cudd/cuddBddIte.o src/bdd/cudd/cuddBridge.o src/bdd/cudd/cuddCache.o src/bdd/cudd/cuddCheck.o src/bdd/cudd/cuddClip.o src/bdd/cudd/cuddCof.o src/bdd/cudd/cuddCompose.o src/bdd/cudd/cuddDecomp.o src/bdd/cudd/cuddEssent.o src/bdd/cudd/cuddExact.o src/bdd/cudd/cuddExport.o src/bdd/cudd/cuddGenCof.o src/bdd/cudd/cuddGenetic.o src/bdd/cudd/cuddGroup.o src/bdd/cudd/cuddHarwell.o src/bdd/cudd/cuddInit.o src/bdd/cudd/cuddInteract.o src/bdd/cudd/cuddLCache.o src/bdd/cudd/cuddLevelQ.o src/bdd/cudd/cuddLinear.o src/bdd/cudd/cuddLiteral.o src/bdd/cudd/cuddMatMult.o src/bdd/cudd/cuddPriority.o src/bdd/cudd/cuddRead.o src/bdd/cudd/cuddRef.o src/bdd/cudd/cuddReorder.o src/bdd/cudd/cuddSat.o src/bdd/cudd/cuddSign.o src/bdd/cudd/cuddSolve.o src/bdd/cudd/cuddSplit.o src/bdd/cudd/cuddSubsetHB.o src/bdd/cudd/cuddSubsetSP.o src/bdd/cudd/cuddSymmetry.o src/bdd/cudd/cuddTable.o src/bdd/cudd/cuddUtil.o src/bdd/cudd/cuddWindow.o src/bdd/cudd/cuddZddCount.o src/bdd/cudd/cuddZddFuncs.o src/bdd/cudd/cuddZddGroup.o src/bdd/cudd/cuddZddIsop.o src/bdd/cudd/cuddZddLin.o src/bdd/cudd/cuddZddMisc.o src/bdd/cudd/cuddZddPort.o src/bdd/cudd/cuddZddReord.o src/bdd/cudd/cuddZddSetop.o src/bdd/cudd/cuddZddSymm.o src/bdd/cudd/cuddZddUtil.o src/bdd/extrab/extraBddAuto.o src/bdd/extrab/extraBddCas.o src/bdd/extrab/extraBddImage.o src/bdd/extrab/extraBddKmap.o src/bdd/extrab/extraBddMaxMin.o src/bdd/extrab/extraBddMisc.o src/bdd/extrab/extraBddSet.o src/bdd/extrab/extraBddSymm.o src/bdd/extrab/extraBddThresh.o src/bdd/extrab/extraBddTime.o src/bdd/extrab/extraBddUnate.o src/bdd/dsd/dsdApi.o src/bdd/dsd/dsdCheck.o src/bdd/dsd/dsdLocal.o src/bdd/dsd/dsdMan.o src/bdd/dsd/dsdProc.o src/bdd/dsd/dsdTree.o src/bdd/epd/epd.o src/bdd/mtr/mtrBasic.o src/bdd/mtr/mtrGroup.o src/bdd/reo/reoApi.o src/bdd/reo/reoCore.o src/bdd/reo/reoProfile.o src/bdd/reo/reoShuffle.o src/bdd/reo/reoSift.o src/bdd/reo/reoSwap.o src/bdd/reo/reoTransfer.o src/bdd/reo/reoUnits.o src/bdd/cas/casCore.o src/bdd/cas/casDec.o src/bdd/bbr/bbrCex.o src/bdd/bbr/bbrImage.o src/bdd/bbr/bbrNtbdd.o src/bdd/bbr/bbrReach.o src/bdd/llb/llb1Cluster.o src/bdd/llb/llb1Constr.o src/bdd/llb/llb1Core.o src/bdd/llb/llb1Group.o src/bdd/llb/llb1Hint.o src/bdd/llb/llb1Man.o src/bdd/llb/llb1Matrix.o src/bdd/llb/llb1Pivot.o src/bdd/llb/llb1Reach.o src/bdd/llb/llb1Sched.o src/bdd/llb/llb2Bad.o src/bdd/llb/llb2Core.o src/bdd/llb/llb2Driver.o src/bdd/llb/llb2Dump.o src/bdd/llb/llb2Flow.o src/bdd/llb/llb2Image.o src/bdd/llb/llb3Image.o src/bdd/llb/llb3Nonlin.o src/bdd/llb/llb4Cex.o src/bdd/llb/llb4Image.o src/bdd/llb/llb4Nonlin.o src/bdd/llb/llb4Sweep.o -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic -lreadline -lpthread -lm -ldl -lrt -lbz2 -lz -lstdc++
src/sat/glucose/Glucose.cpp:913:8: warning: type ‘struct reduceDB_lt’ violates the C++ One Definition Rule [-Wodr]
  913 | struct reduceDB_lt {
      |        ^
src/sat/glucose2/Glucose2.cpp:1073:8: note: a different type is defined in another translation unit
 1073 | struct reduceDB_lt {
      |        ^
src/sat/glucose/Glucose.cpp:914:22: note: the first difference of corresponding definitions is field ‘ca’
  914 |     ClauseAllocator& ca;
      |                      ^
src/sat/glucose2/Glucose2.cpp:1074:22: note: a field of same name but different type is defined in another translation unit
 1074 |     ClauseAllocator& ca;
      |                      ^
./src/sat/glucose/SolverTypes.h:217:7: note: type name ‘abc::Gluco::ClauseAllocator’ should match type name ‘abc::Gluco2::ClauseAllocator’
  217 | class ClauseAllocator : public RegionAllocator<uint32_t>
      |       ^
./src/sat/glucose2/SolverTypes.h:223:7: note: the incompatible type is defined here
  223 | class ClauseAllocator : public RegionAllocator<uint32_t>
      |       ^
src/map/if/ifTest.c:209:16: warning: type ‘struct Par_ThData_t_’ violates the C++ One Definition Rule [-Wodr]
  209 | typedef struct Par_ThData_t_
      |                ^
src/sat/bmc/bmcBmcS.c:733:16: note: a different type is defined in another translation unit
  733 | typedef struct Par_ThData_t_
      |                ^
src/map/if/ifTest.c:211:17: note: the first difference of corresponding definitions is field ‘p’
  211 |     Gia_Man_t * p;
      |                 ^
src/sat/bmc/bmcBmcS.c:735:23: note: a field with different name is defined in another translation unit
  735 |     bmc_sat_solver *  pSat;
      |                       ^
src/base/pla/plaHash.c:72:8: warning: type ‘struct Tab_Obj_t_’ violates the C++ One Definition Rule [-Wodr]
   72 | struct Tab_Obj_t_
      |        ^
src/sat/bmc/bmcFx.c:50:8: note: a different type is defined in another translation unit
   50 | struct Tab_Obj_t_
      |        ^
src/base/pla/plaHash.c:76:17: note: the first difference of corresponding definitions is field ‘Cube’
   76 |     int         Cube;
      |                 ^
src/sat/bmc/bmcFx.c:54:17: note: a field with different name is defined in another translation unit
   54 |     unsigned    Cost : 17;
      |                 ^
src/sat/bmc/bmcMaj.c:44:8: warning: type ‘struct Maj_Man_t_’ violates the C++ One Definition Rule [-Wodr]
   44 | struct Maj_Man_t_
      |        ^
src/sat/bmc/bmcMaj2.c:36:8: note: a different type is defined in another translation unit
   36 | struct Maj_Man_t_
      |        ^
src/sat/bmc/bmcMaj.c:53:23: note: the first difference of corresponding definitions is field ‘vInfo’
   53 |     Vec_Wrd_t *       vInfo;     // Const0 + Const1 + nVars + nNodes + Maj(nVars)
      |                       ^
src/sat/bmc/bmcMaj2.c:45:23: note: a field with different name is defined in another translation unit
   45 |     int               fUseRand;  // use random topology
      |                       ^
src/sat/bmc/bmcMaj.c:419:8: warning: type ‘struct Exa_Man_t_’ violates the C++ One Definition Rule [-Wodr]
  419 | struct Exa_Man_t_
      |        ^
src/sat/bmc/bmcMaj2.c:517:8: note: a different type is defined in another translation unit
  517 | struct Exa_Man_t_
      |        ^
src/sat/bmc/bmcMaj.c:429:23: note: the first difference of corresponding definitions is field ‘VarMarks’
  429 |     int               VarMarks[MAJ_NOBJS][2][MAJ_NOBJS]; // variable marks
      |                       ^
src/sat/bmc/bmcMaj2.c:527:23: note: a field of same name but different type is defined in another translation unit
  527 |     int               VarMarks[MAJ_NOBJS][2][MAJ_NOBJS]; // variable marks
      |                       ^
src/sat/bmc/bmcMaj.c:419:8: note: array types have different bounds
  419 | struct Exa_Man_t_
      |        ^
src/sat/bmc/bmcMaj.c:971:8: warning: type ‘struct Exa3_Man_t_’ violates the C++ One Definition Rule [-Wodr]
  971 | struct Exa3_Man_t_
      |        ^
src/sat/bmc/bmcMaj2.c:898:8: note: a different type is defined in another translation unit
  898 | struct Exa3_Man_t_
      |        ^
src/sat/bmc/bmcMaj.c:983:23: note: the first difference of corresponding definitions is field ‘vUsed2’
  983 |     Vec_Bit_t *       vUsed2;    // bit masks
      |                       ^
src/sat/bmc/bmcMaj2.c:910:23: note: a field with different name is defined in another translation unit
  910 |     int               VarMarks[MAJ_NOBJS][6][MAJ_NOBJS]; // variable marks
      |                       ^
src/map/cov/covInt.h:37:8: warning: type ‘struct Min_Man_t_’ violates the C++ One Definition Rule [-Wodr]
   37 | struct Min_Man_t_
      |        ^
src/aig/gia/giaPat2.c:35:8: note: a different type is defined in another translation unit
   35 | struct Min_Man_t_
      |        ^
src/map/cov/covInt.h:39:23: note: the first difference of corresponding definitions is field ‘nVars’
   39 |     int               nVars;          // the number of vars
      |                       ^
src/aig/gia/giaPat2.c:37:22: note: a field with different name is defined in another translation unit
   37 |     int              nCis;
      |                      ^
src/base/abci/abcPart.c:33:8: warning: type ‘struct Supp_Man_t_’ violates the C++ One Definition Rule [-Wodr]
   33 | struct Supp_Man_t_
      |        ^
src/aig/gia/giaSupps.c:34:8: note: a different type is defined in another translation unit
   34 | struct Supp_Man_t_
      |        ^
src/base/abci/abcPart.c:35:22: note: the first difference of corresponding definitions is field ‘nChunkSize’
   35 |     int              nChunkSize;    // the size of one chunk of memory (~1 MB)
      |                      ^
src/aig/gia/giaSupps.c:37:17: note: a field with different name is defined in another translation unit
   37 |     int         nIters;     // optimization rounds
      |                 ^
src/bdd/cudd/cuddApprox.c:120: warning: type ‘struct NodeData’ violates the C++ One Definition Rule [-Wodr]
  120 | typedef struct NodeData {
      | 
src/bdd/cudd/cuddSubsetHB.c:103: note: a different type is defined in another translation unit
  103 | struct NodeData {
      | 
src/bdd/cudd/cuddApprox.c:121: note: the first difference of corresponding definitions is field ‘mintermsP’
  121 |     double mintermsP;           /* minterms for the regular node */
      | 
src/bdd/cudd/cuddSubsetHB.c:104: note: a field with different name is defined in another translation unit
  104 |     double *mintermPointer;
      | 
src/bdd/llb/llb3Image.c:47: warning: type ‘struct Llb_Mgr_t_’ violates the C++ One Definition Rule [-Wodr]
   47 | struct Llb_Mgr_t_
      | 
src/bdd/llb/llb4Image.c:47: note: a different type is defined in another translation unit
   47 | struct Llb_Mgr_t_
      | 
src/bdd/llb/llb3Image.c:49: note: the first difference of corresponding definitions is field ‘pAig’
   49 |     Aig_Man_t *   pAig;      // AIG manager
      | 
src/bdd/llb/llb4Image.c:49: note: a field with different name is defined in another translation unit
   49 |     DdManager *   dd;        // working BDD manager
      | 
src/base/abci/abc.c:34309:17: warning: ‘Gia_ManSimRsb’ violates the C++ One Definition Rule [-Wodr]
34309 |     extern void Gia_ManSimRsb( Gia_Man_t * p, int nCands, int fVerbose );
      |                 ^
src/aig/gia/giaSimBase.c:1059:5: note: return value type mismatch
 1059 | int Gia_ManSimRsb( Gia_Man_t * pGia, int nCands, int fVerbose )
      |     ^
src/aig/gia/giaSimBase.c:1059:5: note: type ‘int’ should match type ‘void’
src/aig/gia/giaSimBase.c:1059:5: note: ‘Gia_ManSimRsb’ was previously declared here
src/opt/dau/dauTree.c: In function ‘Dss_ManSharedMap’:
src/opt/dau/dauTree.c:1528:50: warning: writing 1 byte into a region of size 0 [-Wstringop-overflow=]
 1528 |                 pEnt->pShared[2*pEnt->nShared+0] = (unsigned char)i;
      |                                                  ^
src/opt/dau/dauTree.c:48:20: note: destination object ‘pShared’ of size 0
   48 |     unsigned char  pShared[0];     // shared literals
      |                    ^
src/opt/dau/dauTree.c:1529:50: warning: writing 1 byte into a region of size 0 [-Wstringop-overflow=]
 1529 |                 pEnt->pShared[2*pEnt->nShared+1] = (unsigned char)Abc_LitNotCond( pMapGtoL[g], Abc_LitIsCompl(pFans[1][i]) );
      |                                                  ^
src/opt/dau/dauTree.c:48:20: note: at offset [1, 63] into destination object ‘pShared’ of size 0
   48 |     unsigned char  pShared[0];     // shared literals
      |                    ^
src/opt/fxu/fxuReduce.c: In function ‘Fxu_PreprocessCubePairs’:
src/opt/fxu/fxuReduce.c:89:22: warning: argument 1 value ‘18446744073709551614’ exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=]
   89 |     pnPairCounters = ABC_CALLOC( int, 2 * nBitsMax );
      |                      ^
/usr/include/stdlib.h:556:14: note: in a call to allocation function ‘calloc’ declared here
  556 | extern void *calloc (size_t __nmemb, size_t __size)
      |              ^
make[3]: Leaving directory '/<<PKGBUILDDIR>>/abc'
cp abc/abc-bb64142 yosys-abc

  Build successful.

make[2]: Leaving directory '/<<PKGBUILDDIR>>'
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
	rm -f debian/yosys-abc.debhelper.log debian/yosys-dev.debhelper.log debian/yosys.debhelper.log
   debian/rules override_dh_auto_build-indep
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_auto_build -- docs DOC_TARGET=latexpdf
	make -j16 "INSTALL=install --strip-program=true" docs DOC_TARGET=latexpdf
make[2]: Entering directory '/<<PKGBUILDDIR>>'
[Makefile.conf] CONFIG := gcc
[Makefile.conf] ABCPULL=0
[Makefile.conf] STRIP=:
mkdir -p docs/source/cmd
make -C docs/images all
mkdir -p docs/source/temp
./yosys -p 'help -write-rst-command-reference-manual' >/dev/null
cp -f guidelines/GettingStarted guidelines/CodingStyle docs/source/temp
make[3]: Entering directory '/<<PKGBUILDDIR>>/docs/images'
dot -Tpdf -o 011/cmos_00.pdf ../source/APPNOTE_011_Design_Investigation/cmos_00.dot
dot -Tpdf -o 011/cmos_01.pdf ../source/APPNOTE_011_Design_Investigation/cmos_01.dot
dot -Tpdf -o 011/example_00.pdf ../source/APPNOTE_011_Design_Investigation/example_00.dot
dot -Tpdf -o 011/example_01.pdf ../source/APPNOTE_011_Design_Investigation/example_01.dot
dot -Tpdf -o 011/example_02.pdf ../source/APPNOTE_011_Design_Investigation/example_02.dot
dot -Tpdf -o 011/example_03.pdf ../source/APPNOTE_011_Design_Investigation/example_03.dot
dot -Tpdf -o 011/memdemo_00.pdf ../source/APPNOTE_011_Design_Investigation/memdemo_00.dot
dot -Tpdf -o 011/memdemo_01.pdf ../source/APPNOTE_011_Design_Investigation/memdemo_01.dot
dot -Tpdf -o 011/splice.pdf ../source/APPNOTE_011_Design_Investigation/splice.dot
dot -Tpdf -o 011/submod_00.pdf ../source/APPNOTE_011_Design_Investigation/submod_00.dot
dot -Tpdf -o 011/submod_01.pdf ../source/APPNOTE_011_Design_Investigation/submod_01.dot
dot -Tpdf -o 011/submod_02.pdf ../source/APPNOTE_011_Design_Investigation/submod_02.dot
dot -Tpdf -o 011/submod_03.pdf ../source/APPNOTE_011_Design_Investigation/submod_03.dot
dot -Tpdf -o 011/sumprod_00.pdf ../source/APPNOTE_011_Design_Investigation/sumprod_00.dot
dot -Tpdf -o 011/sumprod_01.pdf ../source/APPNOTE_011_Design_Investigation/sumprod_01.dot
dot -Tpdf -o 011/sumprod_02.pdf ../source/APPNOTE_011_Design_Investigation/sumprod_02.dot
dot -Tpdf -o 011/sumprod_03.pdf ../source/APPNOTE_011_Design_Investigation/sumprod_03.dot
dot -Tpdf -o 011/sumprod_04.pdf ../source/APPNOTE_011_Design_Investigation/sumprod_04.dot
dot -Tpdf -o 011/sumprod_05.pdf ../source/APPNOTE_011_Design_Investigation/sumprod_05.dot
pdflatex approach_flow.tex --interaction=nonstopmode
pdflatex basics_abstractions.tex --interaction=nonstopmode
pdflatex basics_ast.tex --interaction=nonstopmode
pdflatex basics_flow.tex --interaction=nonstopmode
pdflatex basics_parsetree.tex --interaction=nonstopmode
pdflatex overview_flow.tex --interaction=nonstopmode
pdflatex overview_rtlil.tex --interaction=nonstopmode
pdflatex verilog_flow.tex --interaction=nonstopmode
cd 011 && pdflatex splitnets_libfile.tex --interaction=nonstopmode
pdf2svg 011/cmos_00.pdf 011/cmos_00.svg
pdf2svg 011/cmos_01.pdf 011/cmos_01.svg
pdf2svg 011/example_00.pdf 011/example_00.svg
pdf2svg 011/example_01.pdf 011/example_01.svg
pdf2svg 011/example_02.pdf 011/example_02.svg
pdf2svg 011/example_03.pdf 011/example_03.svg
pdf2svg 011/memdemo_00.pdf 011/memdemo_00.svg
pdf2svg 011/memdemo_01.pdf 011/memdemo_01.svg
pdf2svg 011/splice.pdf 011/splice.svg
pdf2svg 011/submod_00.pdf 011/submod_00.svg
pdf2svg 011/submod_01.pdf 011/submod_01.svg
pdf2svg 011/submod_02.pdf 011/submod_02.svg
pdf2svg 011/submod_03.pdf 011/submod_03.svg
pdf2svg 011/sumprod_00.pdf 011/sumprod_00.svg
This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex)
 restricted \write18 enabled.
This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex)
 restricted \write18 enabled.
This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex)
 restricted \write18 enabled.
This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex)
 restricted \write18 enabled.
This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex)
 restricted \write18 enabled.
This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex)
 restricted \write18 enabled.
This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex)
 restricted \write18 enabled.
This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex)
 restricted \write18 enabled.
pdf2svg 011/sumprod_01.pdf 011/sumprod_01.svg
This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex)
 restricted \write18 enabled.
pdf2svg 011/sumprod_02.pdf 011/sumprod_02.svg
rm -f *.log
cd 011 && pdflatex example_out.tex --interaction=nonstopmode
rm -f *.aux
rm -f  011/*.log 011/*.aux
cd 011 && pdflatex submod_dots.tex --interaction=nonstopmode
This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex)
 restricted \write18 enabled.
This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex)
 restricted \write18 enabled.
entering extended mode
(./overview_rtlil.tex
LaTeX2e <2023-06-01>
L3 programming layer <2023-06-05>
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls
Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan
dalone
(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)
(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty
(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))
(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)
(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls
Document Class: article 2023/05/17 v1.4n Standard LaTeX document class
(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.styentering extended mode
(./basics_flow.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.styentering extended mode
(./approach_flow.texentering extended mode
(./basics_ast.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)entering extended mode
(./basics_parsetree.tex
LaTeX2e <2023-06-01>
L3 programming layer <2023-06-05>
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)
LaTeX2e <2023-06-01>
L3 programming layer <2023-06-05>
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex
LaTeX2e <2023-06-01>
L3 programming layer <2023-06-05>
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls
(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))
Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan
dalone
(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)
LaTeX2e <2023-06-01>
L3 programming layer <2023-06-05>
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls
Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan
dalone
(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)entering extended mode
(./basics_abstractions.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty
Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan
dalone
(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)
Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan
dalone
(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty
(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty
LaTeX2e <2023-06-01>
L3 programming layer <2023-06-05>
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls
(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty
(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty
(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)
(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty)
(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty
Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan
dalone
(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)))
(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))entering extended mode
(./overview_flow.tex)
(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))entering extended mode
(./verilog_flow.tex)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def
(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.styentering extended mode
(./splitnets_libfile.tex
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)
(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty
(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty)
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex
LaTeX2e <2023-06-01>
L3 programming layer <2023-06-05>
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex
(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty)
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex
LaTeX2e <2023-06-01>
L3 programming layer <2023-06-05>
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))
(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty)
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex)
LaTeX2e <2023-06-01>
L3 programming layer <2023-06-05>
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex
(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))
Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan
dalone
(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty))))))
Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan
dalone
(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)
Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan
dalone
(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg
(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty)
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg
(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty))
(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty
(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))
(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls
(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))
(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls)
Document Class: article 2023/05/17 v1.4n Standard LaTeX document class
(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo
(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg
Document Class: article 2023/05/17 v1.4n Standard LaTeX document class
(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered
.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty)
(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls
Document Class: article 2023/05/17 v1.4n Standard LaTeX document class
(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex)
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex
(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))
(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty
Document Class: article 2023/05/17 v1.4n Standard LaTeX document class
(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo))))
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex)
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex)
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)
)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def
(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de
f)))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty)
Document Class: article 2023/05/17 v1.4n Standard LaTeX document class
(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo
(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code.
tex
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg
(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code.
tex)
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)
(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def
(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)
(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex
Document Class: article 2023/05/17 v1.4n Standard LaTeX document class
(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)
Document Class: article 2023/05/17 v1.4n Standard LaTeX document class
(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo
(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))
Document Class: article 2023/05/17 v1.4n Standard LaTeX document class
(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))
(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty)))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.texentering extended mode
(./example_out.tex))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty
LaTeX2e <2023-06-01>
L3 programming layer <2023-06-05>
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code
.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty))
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet
ric.code.tex
Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan
dalone
(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty))
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg
(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))
(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)
(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.defpdf2svg 011/sumprod_03.pdf 011/sumprod_03.svg

(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty))
(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison
.code.tex)))
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code.
tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code
.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code.
tex
(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari
thmetics.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.styentering extended mode
(./submod_dots.tex)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty
LaTeX2e <2023-06-01>
L3 programming layer <2023-06-05>
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def
(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered
.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)
Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan
dalone
(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))
(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls
(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered
.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg
Document Class: article 2023/05/17 v1.4n Standard LaTeX document class
(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)
)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de
f
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered
.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code.
tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)

(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct.
code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de
f))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code.
tex)))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered
.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered
.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code
.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)
)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de
f)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty))
(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty))
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg))

(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c
ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de
f(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation
s.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de
f)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex

(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t
ex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code.
tex)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te
x))
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code.
tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code.
tex))))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered
.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)
)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg
(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de
f
(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def
(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx
Document Class: article 2023/05/17 v1.4n Standard LaTeX document class
(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de
f))))))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code
.tex))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet
ric.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex

(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code.
tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)

(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code
.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de
f
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet
ric.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c
ode.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code.
tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code.
tex)))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet
ric.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def))))

(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code
.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code
.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code.
tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet
ric.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet
ric.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari
thmetics.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod
e.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison
.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex
)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code
.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code
.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari
thmetics.code.tex)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet
ric.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod
e.tex))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code.
tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison
.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65
.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code
.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code
.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari
thmetics.code.tex)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code.
tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari
thmetics.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari
thmetics.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet
ric.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered
.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod
e.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct.
code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code.
tex))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code
.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code
.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te
x (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg))
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari
thmetics.code.tex(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de
f))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18
.sty)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c
ode.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct.
code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation
s.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison
.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code.
tex)))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex
)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet
ric.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t
ex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code
.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing
.code.tex)))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code
.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te
x)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te
x (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te
x))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari
thmetics.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct.
code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c
ode.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct.
code.tex))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation
s.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct.
code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code
.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t
ex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code
.tex)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing
.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te
x))
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te
x)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison
.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c
ode.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation
s.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c
ode.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c
ode.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex
)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation
s.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation
s.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t
ex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing
.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex
))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct.
code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari
thmetics.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t
ex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t
ex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing
.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered
.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code
.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te
x)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c
ode.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te
x)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct.
code.tex))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de
f
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c
ode.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation
s.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex
))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code.
tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t
ex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex
))
)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex
))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code.
tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex
)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te
x))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c
ode.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c
ode.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te
x)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet
ric.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex
 (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation
s.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex
)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code.
tex))
)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t
ex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code.
tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct.
code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code
.tex))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te
x))))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65
.sty)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c
ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c
ode.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c
ode.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex
))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code.
tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code.
tex)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)))))
)))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c
ode.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex
)))

(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation
s.code.tex)))))

(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code
.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t
ex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex

(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65
.sty)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18
.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing
.code.tex)))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te
x)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c
ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex
))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex
 (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code.
tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari
thmetics.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te
x))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65
.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty)))

(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65
.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65
.sty))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18
.sty)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te
x))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c
ode.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex
))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code.
tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty))
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet
ric.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex
))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18
.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex

(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18
.sty)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18
.sty)))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarytopaths.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code.
tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65
.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod
e.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison
.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex

(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65
.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te
x)))))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te
x))))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari
thmetics.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18
.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c
ode.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct.
code.tex)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty))
(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code
.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18
.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code.
tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))

(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c
ode.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation
s.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex

(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t
ex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing
.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te
x
(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex
))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct.
code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code
.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c
ode.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation
s.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t
ex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex
)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65
.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te
x)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18
.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex
))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te
x))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c
ode.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c
ode.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex
))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))

(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex
)))
)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers
.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers
.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex
 (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex

For additional information on amsmath, use the `?' option.
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex
))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))))
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65
.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65
.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers
.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarytopaths.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers
.code.tex)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18
.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18
.sty)))))))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex
 (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex

(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex
)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty
(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex

(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarytopaths.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex
))))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarytopaths.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarytopaths.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarytopaths.code.tex
For additional information on amsmath, use the `?' option.
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty))))))))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex

(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers
.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)))))))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarytopaths.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarytopaths.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty
(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex
))
(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers
.code.tex)))))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex))
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty
For additional information on amsmath, use the `?' option.
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarytopaths.code.tex)
(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty
(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)))))
For additional information on amsmath, use the `?' option.
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty
For additional information on amsmath, use the `?' option.
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)
For additional information on amsmath, use the `?' option.
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code
.tex)))
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t
ex
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp
gfsupp_loader.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex

(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty))
For additional information on amsmath, use the `?' option.
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex
)))
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty
Package pgfplots: loading complementary utilities for your pgf version...

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp
gfsupp_pgfutil-common-lists.tex)
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex
)
For additional information on amsmath, use the `?' option.
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)
(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty)
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex))
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists
tructure.code.tex)
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists
tructureext.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray
.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri
x.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare
d.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t
ex)
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp
gfsupp_loader.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarytopaths.code.tex)
(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarytopaths.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex))))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty))
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)
(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty
Package pgfplots: loading complementary utilities for your pgf version...

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp
gfsupp_pgfutil-common-lists.tex)))
(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)
(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque
.code.tex
No file splitnets_libfile.aux.
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co
de.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex
[Loading MPS to PDF converter (version 2006.09.02).]
)))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t
ex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp
gfsupp_loader.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists
tructure.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex
))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists
tructureext.code.tex (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur
fshading.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code
.tex)))))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri
x.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t
ex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp
gfsupp_loader.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t
ex
Package pgfplots: loading complementary utilities for your pgf version...

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp
gfsupp_pgfutil-common-lists.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare
d.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf
shading.pgfsys-pdftex.def))))))
(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp
gfsupp_loader.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t
ex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp
gfsupp_loader.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex

(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists
tructure.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex))
(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def
Package pgfplots: loading complementary utilities for your pgf version...

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp
gfsupp_pgfutil-common-lists.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists
tructureext.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex))
Package pgfplots: loading complementary utilities for your pgf version...

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp
gfsupp_pgfutil-common-lists.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri
x.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)))
Package pgfplots: loading complementary utilities for your pgf version...

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp
gfsupp_pgfutil-common-lists.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare
d.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists
tructure.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists
tructure.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists
tructureext.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co
de.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists
tructureext.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists
tructure.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t
ex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists
tructureext.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp
gfsupp_loader.code.tex)
No file submod_dots.aux.
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii
No file example_out.aux.
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex

(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray
.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code
.tex))))))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri
x.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t
ex)
[Loading MPS to PDF converter (version 2006.09.02).]
)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri
x.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t
ex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque
.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp
gfsupp_loader.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare
d.code.tex
[Loading MPS to PDF converter (version 2006.09.02).]
)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri
x.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co
de.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code
.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t
ex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare
d.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare
d.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty
Package pgfplots: loading complementary utilities for your pgf version...

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp
gfsupp_pgfutil-common-lists.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur
fshading.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code
.tex) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur
fshading.code.tex)
Package pgfplots: loading complementary utilities for your pgf version...

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp
gfsupp_pgfutil-common-lists.tex))
(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))
(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists
tructure.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf
shading.pgfsys-pdftex.def)))))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists
tructureext.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf
shading.pgfsys-pdftex.def)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray
.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque
.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty)
(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co
de.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri
x.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists
tructure.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque
.code.tex)))))))))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare
d.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque
.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists
tructureext.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te
x
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code
.tex)
(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co
de.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co
de.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur
fshading.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray
.code.tex))))pdf2svg 011/sumprod_04.pdf 011/sumprod_04.svg

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri
x.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code
.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare
d.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf
shading.pgfsys-pdftex.def))))
(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex

(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code
.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque
.code.tex)))
(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg
(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co
de.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur
fshading.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty)))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur
fshading.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf
shading.pgfsys-pdftex.def))))
(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code
.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex
))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t
ex)
(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur
fshading.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t
ex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf
shading.pgfsys-pdftex.def)))))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf
shading.pgfsys-pdftex.def

pdfTeX warning: pdflatex (file ./cmos_00.pdf): 
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t
ex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex
))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t
ex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod
e.tex)PDF inclusion: found PDF version
 <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./cmos_01.pdf): )
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t
ex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t
ex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex

(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod
e.texPDF inclusion: found PDF version
 <1.7>, but at most version <1.5> allowed
[1)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque
.code.tex <./cmos_00.pdf)> <./cmos_01.pdf

pdfTeX warning: pdflatex (file ./cmos_01.pdf): PDF inclusion: multiple pdfs wit
h page group included in a single page
>] (./splitnets_libfile.aux)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code.
tex) )
Output written on splitnets_libfile.pdf (1 page, 16973 bytes).
Transcript written on splitnets_libfile.log.

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t
ex)
(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code.
tex)))pdf2svg 011/splitnets_libfile.pdf 011/splitnets_libfile.svg

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co
de.tex))

pdfTeX warning: pdflatex (file ./submod_00.pdf): )
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t
ex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t
ex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code.
tex)PDF inclusion: found PDF versi
on <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./submod_01.pdf): 
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t
ex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t
ex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t
ex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code
.tex)PDF inclusion: found PDF versi
on <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./submod_02.pdf):  (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur
fshading.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod
e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex)PDF inclusion: found PDF versi
on <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./submod_03.pdf): ))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod
e.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code.
tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code.
tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf
shading.pgfsys-pdftex.def)))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code.
tex))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.pathmorphing.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary
decorations.pathmorphing.code.tex))PDF inclusion: found PDF versi
on <1.7>, but at most version <1.5> allowed
[1){/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.pathreplacing.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary
decorations.pathreplacing.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex))))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co
ntourlua.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod
e.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t
ex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t
ex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex
)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex
) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod
e.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex
))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex
)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod
e.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod
e.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod
e.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex


pdfTeX warning: pdflatex (file ./example_00.pdf): 
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod
e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex
) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code.
tex))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.pathmorphing.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary
decorations.pathmorphing.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.pathmorphing.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary
decorations.pathmorphing.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.pathreplacing.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary
decorations.pathreplacing.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.pathreplacing.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary
decorations.pathreplacing.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co
ntourlua.code.tex)PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./example_01.pdf): )
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co
ntourlua.code.tex)PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./example_02.pdf): )))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.pathmorphing.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary
decorations.pathmorphing.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex))PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed
[1 <./example_00.pdf
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.pathreplacing.code.tex> <./example_01.pdf

pdfTeX warning: pdflatex (file ./example_01.pdf): 
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary
decorations.pathreplacing.code.texPDF inclusion: multiple pdfs 
with page group included in a single page
> <./example_02.pdf

pdfTeX warning: pdflatex (file ./example_02.pdf): PDF inclusion: multiple pdfs 
with page group included in a single page
>])) (./example_out.aux)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co
ntourlua.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod
e.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex
 )
Output written on example_out.pdf (1 page, 34669 bytes).
Transcript written on example_out.log.
) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex
) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex)pdf2svg 011/example_out.pdf 011/example_out.svg
)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.pathmorphing.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary
decorations.pathmorphing.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.pathmorphing.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary
decorations.pathmorphing.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.pathreplacing.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary
decorations.pathreplacing.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co
ntourlua.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.pathreplacing.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary
decorations.pathreplacing.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co
ntourlua.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex
) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex
) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod
e.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex
) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex
))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod
e.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.pathmorphing.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary
decorations.pathmorphing.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.pathreplacing.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary
decorations.pathreplacing.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.pathmorphing.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary
decorations.pathmorphing.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarydecorations.pathreplacing.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary
decorations.pathreplacing.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co
ntourlua.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co
ntourlua.code.tex)} <./submod_00.pdf> <./subm
od_01.pdf

pdfTeX warning: pdflatex (file ./submod_01.pdf): PDF inclusion: multiple pdfs w
ith page group included in a single page
> <./submod_02.pdf

pdfTeX warning: pdflatex (file ./submod_02.pdf): PDF inclusion: multiple pdfs w
ith page group included in a single page
> <./submod_03.pdf

pdfTeX warning: pdflatex (file ./submod_03.pdf): PDF inclusion: multiple pdfs w
ith page group included in a single page
>] (./submod_dots.aux) )</usr/share/texlive/texmf-dist/fonts/type1/public/amsfo
nts/cm/cmtt12.pfb>
Output written on submod_dots.pdf (1 page, 58437 bytes).
Transcript written on submod_dots.log.
pdf2svg 011/submod_dots.pdf 011/submod_dots.svg
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryplotmarks.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co
de.tex))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryshapes.geometric.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryplotmarks.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape
s.geometric.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co
de.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryshapes.geometric.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape
s.geometric.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)
No file overview_flow.aux.
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii
[Loading MPS to PDF converter (version 2006.09.02).]
))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryplotmarks.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co
de.tex))) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty
(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))))
(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def
(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def))
No file overview_rtlil.aux.
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii
No file verilog_flow.aux.
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii
[Loading MPS to PDF converter (version 2006.09.02).]
) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty
[Loading MPS to PDF converter (version 2006.09.02).]
)
(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty
(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryplotmarks.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co
de.tex))))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryplotmarks.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co
de.texcd 011 && pdflatex select_prod.tex --interaction=nonstopmode
))))pdf2svg 011/sumprod_05.pdf 011/sumprod_05.svg

(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryplotmarks.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co
de.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryshapes.geometric.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape
s.geometric.code.tex
(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)
No file basics_abstractions.aux.
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii))
[Loading MPS to PDF converter (version 2006.09.02).]
) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty
(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))
(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def

Package pgfplots Warning: running in backwards compatibility mode (unsuitable t
ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into 
your preamble.
 on input line 12.

[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map
(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryplotmarks.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co
de.tex
No file basics_ast.aux.
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryshapes.geometric.code.tex
[Loading MPS to PDF converter (version 2006.09.02).]
)
No file basics_flow.aux.
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryplotmarks.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape
s.geometric.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co
de.tex
(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))))
[Loading MPS to PDF converter (version 2006.09.02).]
))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarycalc.code.tex))) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty
(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def
(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))

Package pgfplots Warning: running in backwards compatibility mode (unsuitable t
ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into 
your preamble.
 on input line 11.

[1This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex)
 restricted \write18 enabled.

(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map))
No file approach_flow.aux.
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii

Package pgfplots Warning: running in backwards compatibility mode (unsuitable t
ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into 
your preamble.
 on input line 12.

[1
[Loading MPS to PDF converter (version 2006.09.02).]
){/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map
No file basics_parsetree.aux.
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty
[Loading MPS to PDF converter (version 2006.09.02).]
)
(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty

Package pgfplots Warning: running in backwards compatibility mode (unsuitable t
ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into 
your preamble.
 on input line 11.

[1

Package pgfplots Warning: running in backwards compatibility mode (unsuitable t
ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into 
your preamble.
 on input line 12.

[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map
(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))}] (./overview_flow.aux) )<
/usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr12.pfb>

Package pgfplots Warning: running in backwards compatibility mode (unsuitable t
ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into 
your preamble.
 on input line 12.

[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map
Output written on overview_flow.pdf (1 page, 15181 bytes).
Transcript written on overview_flow.log.


Package pgfplots Warning: running in backwards compatibility mode (unsuitable t
ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into 
your preamble.
 on input line 11.

[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.mappdf2svg overview_flow.pdf overview_flow.svg
}]
(./basics_abstractions.aux) )</usr/share/texlive/texmf-dist/fonts/type1/public/
amsfonts/cm/cmr12.pfb

Package pgfplots Warning: running in backwards compatibility mode (unsuitable t
ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into 
your preamble.
 on input line 12.

[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map>}]
Output written on basics_abstractions.pdf (1 page, 16368 bytes).
Transcript written on basics_abstractions.log.
 (./basics_ast.aux) )</us
r/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmtt12.pfb>pdf2svg basics_abstractions.pdf basics_abstractions.svg

Output written on basics_ast.pdf (1 page, 7708 bytes).
Transcript written on basics_ast.log.
pdf2svg basics_ast.pdf basics_ast.svg
entering extended mode
(./select_prod.tex
LaTeX2e <2023-06-01>
L3 programming layer <2023-06-05>
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls}]
Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan
dalone
(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) (./overview_rtlil.aux) )
</usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr6.pfb></usr/sh
are/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmtt12.pfb>
(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty
(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))}
(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty]
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) (./verilog_flow.aux))) )</
usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmtt12.pfb>
(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)
Output written on overview_rtlil.pdf (1 page, 17629 bytes).
Transcript written on overview_rtlil.log.

(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls
Document Class: article 2023/05/17 v1.4n Standard LaTeX document class
(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)}]) (./approach_flow.aux)pdf2svg overview_rtlil.pdf overview_rtlil.svg

Output written on verilog_flow.pdf (1 page, 9530 bytes).
Transcript written on verilog_flow.log.
 )<
/usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr12.pfb
(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty>
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.texpdf2svg verilog_flow.pdf verilog_flow.svg
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))}] (./basics_flow.aux)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty )</u
sr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr12.pfb>
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)
Output written on approach_flow.pdf (1 page, 15286 bytes).
Transcript written on approach_flow.log.

(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.defpdf2svg approach_flow.pdf approach_flow.svg
)
Output written on basics_flow.pdf (1 page, 14280 bytes).
Transcript written on basics_flow.log.
))pdf2svg basics_flow.pdf basics_flow.svg

(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered
.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de
f)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code.
tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code
.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet
ric.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod
e.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison
.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code
.tex)}
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code.
tex)]
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari
thmetics.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (./basics_parsetree.aux)

(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex )</usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmtt12.pfb>))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct.
code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code
.tex
Output written on basics_parsetree.pdf (1 page, 10895 bytes).
Transcript written on basics_parsetree.log.
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c
ode.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation
s.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t
ex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing
.code.texpdf2svg basics_parsetree.pdf basics_parsetree.svg
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c
ode.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex
) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex
)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65
.sty)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18
.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers
.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarytopaths.code.tex))))
(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)
No file select_prod.aux.
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii
[Loading MPS to PDF converter (version 2006.09.02).]
) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty
(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)
(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty
(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty))
(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty
(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty)
(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))
(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty)
(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))

pdfTeX warning: pdflatex (file ./sumprod_02.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./sumprod_03.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./sumprod_04.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./sumprod_05.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed
[1 <./sumprod_02.pdf> <./sumprod_03.pdf

pdfTeX warning: pdflatex (file ./sumprod_03.pdf): PDF inclusion: multiple pdfs 
with page group included in a single page
> <./sumprod_04.pdf

pdfTeX warning: pdflatex (file ./sumprod_04.pdf): PDF inclusion: multiple pdfs 
with page group included in a single page
> <./sumprod_05.pdf

pdfTeX warning: pdflatex (file ./sumprod_05.pdf): PDF inclusion: multiple pdfs 
with page group included in a single page
>] (./select_prod.aux) )
Output written on select_prod.pdf (1 page, 22486 bytes).
Transcript written on select_prod.log.
pdf2svg 011/select_prod.pdf 011/select_prod.svg
make[3]: Leaving directory '/<<PKGBUILDDIR>>/docs/images'
make -C docs latexpdf
make[3]: Entering directory '/<<PKGBUILDDIR>>/docs'
sphinx-build -b latex -d build/doctrees   source build/latex
Running Sphinx v5.3.0
making output directory... done
checking bibtex cache... out of date
parsing bibtex file /<<PKGBUILDDIR>>/docs/source/literature.bib... parsed 23 entries
building [mo]: targets for 0 po files that are out of date
building [latex]: all documents
updating environment: [new config] 273 added, 0 changed, 0 removed
reading sources... [  0%] CHAPTER_Approach
reading sources... [  0%] CHAPTER_Basics
reading sources... [  1%] CHAPTER_CellLib
reading sources... [  1%] CHAPTER_Intro
reading sources... [  1%] CHAPTER_Memorymap
reading sources... [  2%] CHAPTER_Optimize
reading sources... [  2%] CHAPTER_Overview
reading sources... [  2%] CHAPTER_Prog
reading sources... [  3%] CHAPTER_Techmap
reading sources... [  3%] CHAPTER_Verilog
reading sources... [  4%] appendix/APPNOTE_010_Verilog_to_BLIF
reading sources... [  4%] appendix/APPNOTE_011_Design_Investigation
reading sources... [  4%] appendix/APPNOTE_012_Verilog_to_BTOR
reading sources... [  5%] appendix/CHAPTER_Auxlibs
reading sources... [  5%] appendix/CHAPTER_Auxprogs
reading sources... [  5%] appendix/CHAPTER_TextRtlil
reading sources... [  6%] bib
reading sources... [  6%] cmd/abc
reading sources... [  6%] cmd/abc9
reading sources... [  7%] cmd/abc9_exe
reading sources... [  7%] cmd/abc9_ops
reading sources... [  8%] cmd/add
reading sources... [  8%] cmd/aigmap
reading sources... [  8%] cmd/alumacc
reading sources... [  9%] cmd/anlogic_eqn
reading sources... [  9%] cmd/anlogic_fixcarry
reading sources... [  9%] cmd/assertpmux
reading sources... [ 10%] cmd/async2sync
reading sources... [ 10%] cmd/attrmap
reading sources... [ 10%] cmd/attrmvcp
reading sources... [ 11%] cmd/autoname
reading sources... [ 11%] cmd/blackbox
reading sources... [ 12%] cmd/bmuxmap
reading sources... [ 12%] cmd/bugpoint
reading sources... [ 12%] cmd/bwmuxmap
reading sources... [ 13%] cmd/cd
reading sources... [ 13%] cmd/check
reading sources... [ 13%] cmd/chformal
reading sources... [ 14%] cmd/chparam
reading sources... [ 14%] cmd/chtype
reading sources... [ 15%] cmd/clean
reading sources... [ 15%] cmd/clean_zerowidth
reading sources... [ 15%] cmd/clk2fflogic
reading sources... [ 16%] cmd/clkbufmap
reading sources... [ 16%] cmd/connect
reading sources... [ 16%] cmd/connect_rpc
reading sources... [ 17%] cmd/connwrappers
reading sources... [ 17%] cmd/coolrunner2_fixup
reading sources... [ 17%] cmd/coolrunner2_sop
reading sources... [ 18%] cmd/copy
reading sources... [ 18%] cmd/cover
reading sources... [ 19%] cmd/cutpoint
reading sources... [ 19%] cmd/debug
reading sources... [ 19%] cmd/delete
reading sources... [ 20%] cmd/deminout
reading sources... [ 20%] cmd/demuxmap
reading sources... [ 20%] cmd/design
reading sources... [ 21%] cmd/dffinit
reading sources... [ 21%] cmd/dfflegalize
reading sources... [ 21%] cmd/dfflibmap
reading sources... [ 22%] cmd/dffunmap
reading sources... [ 22%] cmd/dump
reading sources... [ 23%] cmd/echo
reading sources... [ 23%] cmd/edgetypes
reading sources... [ 23%] cmd/efinix_fixcarry
reading sources... [ 24%] cmd/equiv_add
reading sources... [ 24%] cmd/equiv_induct
reading sources... [ 24%] cmd/equiv_make
reading sources... [ 25%] cmd/equiv_mark
reading sources... [ 25%] cmd/equiv_miter
reading sources... [ 26%] cmd/equiv_opt
reading sources... [ 26%] cmd/equiv_purge
reading sources... [ 26%] cmd/equiv_remove
reading sources... [ 27%] cmd/equiv_simple
reading sources... [ 27%] cmd/equiv_status
reading sources... [ 27%] cmd/equiv_struct
reading sources... [ 28%] cmd/eval
reading sources... [ 28%] cmd/exec
reading sources... [ 28%] cmd/expose
reading sources... [ 29%] cmd/extract
reading sources... [ 29%] cmd/extract_counter
reading sources... [ 30%] cmd/extract_fa
reading sources... [ 30%] cmd/extract_reduce
reading sources... [ 30%] cmd/extractinv
reading sources... [ 31%] cmd/flatten
reading sources... [ 31%] cmd/flowmap
reading sources... [ 31%] cmd/fmcombine
reading sources... [ 32%] cmd/fminit
reading sources... [ 32%] cmd/formalff
reading sources... [ 32%] cmd/freduce
reading sources... [ 33%] cmd/fsm
reading sources... [ 33%] cmd/fsm_detect
reading sources... [ 34%] cmd/fsm_expand
reading sources... [ 34%] cmd/fsm_export
reading sources... [ 34%] cmd/fsm_extract
reading sources... [ 35%] cmd/fsm_info
reading sources... [ 35%] cmd/fsm_map
reading sources... [ 35%] cmd/fsm_opt
reading sources... [ 36%] cmd/fsm_recode
reading sources... [ 36%] cmd/fst2tb
reading sources... [ 36%] cmd/gatemate_foldinv
reading sources... [ 37%] cmd/glift
reading sources... [ 37%] cmd/greenpak4_dffinv
reading sources... [ 38%] cmd/help
reading sources... [ 38%] cmd/hierarchy
reading sources... [ 38%] cmd/hilomap
reading sources... [ 39%] cmd/history
reading sources... [ 39%] cmd/ice40_braminit
reading sources... [ 39%] cmd/ice40_dsp
reading sources... [ 40%] cmd/ice40_opt
reading sources... [ 40%] cmd/ice40_wrapcarry
reading sources... [ 41%] cmd/insbuf
reading sources... [ 41%] cmd/iopadmap
reading sources... [ 41%] cmd/jny
reading sources... [ 42%] cmd/json
reading sources... [ 42%] cmd/lattice_gsr
reading sources... [ 42%] cmd/log
reading sources... [ 43%] cmd/logger
reading sources... [ 43%] cmd/ls
reading sources... [ 43%] cmd/ltp
reading sources... [ 44%] cmd/lut2mux
reading sources... [ 44%] cmd/maccmap
reading sources... [ 45%] cmd/memory
reading sources... [ 45%] cmd/memory_bmux2rom
reading sources... [ 45%] cmd/memory_bram
reading sources... [ 46%] cmd/memory_collect
reading sources... [ 46%] cmd/memory_dff
reading sources... [ 46%] cmd/memory_libmap
reading sources... [ 47%] cmd/memory_map
reading sources... [ 47%] cmd/memory_memx
reading sources... [ 47%] cmd/memory_narrow
reading sources... [ 48%] cmd/memory_nordff
reading sources... [ 48%] cmd/memory_share
reading sources... [ 49%] cmd/memory_unpack
reading sources... [ 49%] cmd/miter
reading sources... [ 49%] cmd/mutate
reading sources... [ 50%] cmd/muxcover
reading sources... [ 50%] cmd/muxpack
reading sources... [ 50%] cmd/nlutmap
reading sources... [ 51%] cmd/onehot
reading sources... [ 51%] cmd/opt
reading sources... [ 52%] cmd/opt_clean
reading sources... [ 52%] cmd/opt_demorgan
reading sources... [ 52%] cmd/opt_dff
reading sources... [ 53%] cmd/opt_expr
reading sources... [ 53%] cmd/opt_ffinv
reading sources... [ 53%] cmd/opt_lut
reading sources... [ 54%] cmd/opt_lut_ins
reading sources... [ 54%] cmd/opt_mem
reading sources... [ 54%] cmd/opt_mem_feedback
reading sources... [ 55%] cmd/opt_mem_priority
reading sources... [ 55%] cmd/opt_mem_widen
reading sources... [ 56%] cmd/opt_merge
reading sources... [ 56%] cmd/opt_muxtree
reading sources... [ 56%] cmd/opt_reduce
reading sources... [ 57%] cmd/opt_share
reading sources... [ 57%] cmd/paramap
reading sources... [ 57%] cmd/peepopt
reading sources... [ 58%] cmd/plugin
reading sources... [ 58%] cmd/pmux2shiftx
reading sources... [ 58%] cmd/pmuxtree
reading sources... [ 59%] cmd/portlist
reading sources... [ 59%] cmd/prep
reading sources... [ 60%] cmd/printattrs
reading sources... [ 60%] cmd/proc
reading sources... [ 60%] cmd/proc_arst
reading sources... [ 61%] cmd/proc_clean
reading sources... [ 61%] cmd/proc_dff
reading sources... [ 61%] cmd/proc_dlatch
reading sources... [ 62%] cmd/proc_init
reading sources... [ 62%] cmd/proc_memwr
reading sources... [ 63%] cmd/proc_mux
reading sources... [ 63%] cmd/proc_prune
reading sources... [ 63%] cmd/proc_rmdead
reading sources... [ 64%] cmd/proc_rom
reading sources... [ 64%] cmd/qbfsat
reading sources... [ 64%] cmd/qwp
reading sources... [ 65%] cmd/read
reading sources... [ 65%] cmd/read_aiger
reading sources... [ 65%] cmd/read_blif
reading sources... [ 66%] cmd/read_ilang
reading sources... [ 66%] cmd/read_json
reading sources... [ 67%] cmd/read_liberty
reading sources... [ 67%] cmd/read_rtlil
reading sources... [ 67%] cmd/read_verilog
reading sources... [ 68%] cmd/recover_names
reading sources... [ 68%] cmd/rename
reading sources... [ 68%] cmd/rmports
reading sources... [ 69%] cmd/sat
reading sources... [ 69%] cmd/scatter
reading sources... [ 69%] cmd/scc
reading sources... [ 70%] cmd/scratchpad
reading sources... [ 70%] cmd/script
reading sources... [ 71%] cmd/select
reading sources... [ 71%] cmd/setattr
reading sources... [ 71%] cmd/setparam
reading sources... [ 72%] cmd/setundef
reading sources... [ 72%] cmd/share
reading sources... [ 72%] cmd/shell
reading sources... [ 73%] cmd/show
reading sources... [ 73%] cmd/shregmap
reading sources... [ 73%] cmd/sim
reading sources... [ 74%] cmd/simplemap
reading sources... [ 74%] cmd/splice
reading sources... [ 75%] cmd/splitcells
reading sources... [ 75%] cmd/splitnets
reading sources... [ 75%] cmd/sta
reading sources... [ 76%] cmd/stat
reading sources... [ 76%] cmd/submod
reading sources... [ 76%] cmd/supercover
reading sources... [ 77%] cmd/synth
reading sources... [ 77%] cmd/synth_achronix
reading sources... [ 78%] cmd/synth_anlogic
reading sources... [ 78%] cmd/synth_coolrunner2
reading sources... [ 78%] cmd/synth_easic
reading sources... [ 79%] cmd/synth_ecp5
reading sources... [ 79%] cmd/synth_efinix
reading sources... [ 79%] cmd/synth_fabulous
reading sources... [ 80%] cmd/synth_gatemate
reading sources... [ 80%] cmd/synth_gowin
reading sources... [ 80%] cmd/synth_greenpak4
reading sources... [ 81%] cmd/synth_ice40
reading sources... [ 81%] cmd/synth_intel
reading sources... [ 82%] cmd/synth_intel_alm
reading sources... [ 82%] cmd/synth_lattice
reading sources... [ 82%] cmd/synth_nexus
reading sources... [ 83%] cmd/synth_quicklogic
reading sources... [ 83%] cmd/synth_sf2
reading sources... [ 83%] cmd/synth_xilinx
reading sources... [ 84%] cmd/synthprop
reading sources... [ 84%] cmd/tcl
reading sources... [ 84%] cmd/techmap
reading sources... [ 85%] cmd/tee
reading sources... [ 85%] cmd/test_abcloop
reading sources... [ 86%] cmd/test_autotb
reading sources... [ 86%] cmd/test_cell
reading sources... [ 86%] cmd/test_pmgen
reading sources... [ 87%] cmd/torder
reading sources... [ 87%] cmd/trace
reading sources... [ 87%] cmd/tribuf
reading sources... [ 88%] cmd/uniquify
reading sources... [ 88%] cmd/verific
reading sources... [ 89%] cmd/verilog_defaults
reading sources... [ 89%] cmd/verilog_defines
reading sources... [ 89%] cmd/viz
reading sources... [ 90%] cmd/wbflip
reading sources... [ 90%] cmd/wreduce
reading sources... [ 90%] cmd/write_aiger
reading sources... [ 91%] cmd/write_blif
reading sources... [ 91%] cmd/write_btor
reading sources... [ 91%] cmd/write_cxxrtl
reading sources... [ 92%] cmd/write_edif
reading sources... [ 92%] cmd/write_file
reading sources... [ 93%] cmd/write_firrtl
reading sources... [ 93%] cmd/write_ilang
reading sources... [ 93%] cmd/write_intersynth
reading sources... [ 94%] cmd/write_jny
reading sources... [ 94%] cmd/write_json
reading sources... [ 94%] cmd/write_rtlil
reading sources... [ 95%] cmd/write_simplec
reading sources... [ 95%] cmd/write_smt2
reading sources... [ 95%] cmd/write_smv
reading sources... [ 96%] cmd/write_spice
reading sources... [ 96%] cmd/write_table
reading sources... [ 97%] cmd/write_verilog
reading sources... [ 97%] cmd/write_xaiger
reading sources... [ 97%] cmd/xilinx_dffopt
reading sources... [ 98%] cmd/xilinx_dsp
reading sources... [ 98%] cmd/xilinx_srl
reading sources... [ 98%] cmd/xprop
reading sources... [ 99%] cmd/zinit
reading sources... [ 99%] cmd_ref
reading sources... [100%] index

/<<PKGBUILDDIR>>/docs/source/index.rst:30: WARNING: toctree contains reference to excluded document 'CHAPTER_Eval'
/<<PKGBUILDDIR>>/docs/source/index.rst:52: WARNING: toctree contains reference to excluded document 'appendix/CHAPTER_StateOfTheArt'
looking for now-outdated files... none found
pickling environment... done
checking consistency... done
processing yosyshqyosys.tex... index CHAPTER_Intro CHAPTER_Basics CHAPTER_Approach CHAPTER_Overview CHAPTER_CellLib CHAPTER_Prog CHAPTER_Verilog CHAPTER_Optimize CHAPTER_Techmap CHAPTER_Memorymap appendix/CHAPTER_Auxlibs appendix/CHAPTER_Auxprogs appendix/CHAPTER_TextRtlil appendix/APPNOTE_010_Verilog_to_BLIF appendix/APPNOTE_011_Design_Investigation appendix/APPNOTE_012_Verilog_to_BTOR bib cmd_ref cmd/abc cmd/abc9 cmd/abc9_exe cmd/abc9_ops cmd/add cmd/aigmap cmd/alumacc cmd/anlogic_eqn cmd/anlogic_fixcarry cmd/assertpmux cmd/async2sync cmd/attrmap cmd/attrmvcp cmd/autoname cmd/blackbox cmd/bmuxmap cmd/bugpoint cmd/bwmuxmap cmd/cd cmd/check cmd/chformal cmd/chparam cmd/chtype cmd/clean cmd/clean_zerowidth cmd/clk2fflogic cmd/clkbufmap cmd/connect cmd/connect_rpc cmd/connwrappers cmd/coolrunner2_fixup cmd/coolrunner2_sop cmd/copy cmd/cover cmd/cutpoint cmd/debug cmd/delete cmd/deminout cmd/demuxmap cmd/design cmd/dffinit cmd/dfflegalize cmd/dfflibmap cmd/dffunmap cmd/dump cmd/echo cmd/edgetypes cmd/efinix_fixcarry cmd/equiv_add cmd/equiv_induct cmd/equiv_make cmd/equiv_mark cmd/equiv_miter cmd/equiv_opt cmd/equiv_purge cmd/equiv_remove cmd/equiv_simple cmd/equiv_status cmd/equiv_struct cmd/eval cmd/exec cmd/expose cmd/extract cmd/extract_counter cmd/extract_fa cmd/extract_reduce cmd/extractinv cmd/flatten cmd/flowmap cmd/fmcombine cmd/fminit cmd/formalff cmd/freduce cmd/fsm cmd/fsm_detect cmd/fsm_expand cmd/fsm_export cmd/fsm_extract cmd/fsm_info cmd/fsm_map cmd/fsm_opt cmd/fsm_recode cmd/fst2tb cmd/gatemate_foldinv cmd/glift cmd/greenpak4_dffinv cmd/help cmd/hierarchy cmd/hilomap cmd/history cmd/ice40_braminit cmd/ice40_dsp cmd/ice40_opt cmd/ice40_wrapcarry cmd/insbuf cmd/iopadmap cmd/jny cmd/json cmd/lattice_gsr cmd/log cmd/logger cmd/ls cmd/ltp cmd/lut2mux cmd/maccmap cmd/memory cmd/memory_bmux2rom cmd/memory_bram cmd/memory_collect cmd/memory_dff cmd/memory_libmap cmd/memory_map cmd/memory_memx cmd/memory_narrow cmd/memory_nordff cmd/memory_share cmd/memory_unpack cmd/miter cmd/mutate cmd/muxcover cmd/muxpack cmd/nlutmap cmd/onehot cmd/opt cmd/opt_clean cmd/opt_demorgan cmd/opt_dff cmd/opt_expr cmd/opt_ffinv cmd/opt_lut cmd/opt_lut_ins cmd/opt_mem cmd/opt_mem_feedback cmd/opt_mem_priority cmd/opt_mem_widen cmd/opt_merge cmd/opt_muxtree cmd/opt_reduce cmd/opt_share cmd/paramap cmd/peepopt cmd/plugin cmd/pmux2shiftx cmd/pmuxtree cmd/portlist cmd/prep cmd/printattrs cmd/proc cmd/proc_arst cmd/proc_clean cmd/proc_dff cmd/proc_dlatch cmd/proc_init cmd/proc_memwr cmd/proc_mux cmd/proc_prune cmd/proc_rmdead cmd/proc_rom cmd/qbfsat cmd/qwp cmd/read cmd/read_aiger cmd/read_blif cmd/read_ilang cmd/read_json cmd/read_liberty cmd/read_rtlil cmd/read_verilog cmd/recover_names cmd/rename cmd/rmports cmd/sat cmd/scatter cmd/scc cmd/scratchpad cmd/script cmd/select cmd/setattr cmd/setparam cmd/setundef cmd/share cmd/shell cmd/show cmd/shregmap cmd/sim cmd/simplemap cmd/splice cmd/splitcells cmd/splitnets cmd/sta cmd/stat cmd/submod cmd/supercover cmd/synth cmd/synth_achronix cmd/synth_anlogic cmd/synth_coolrunner2 cmd/synth_easic cmd/synth_ecp5 cmd/synth_efinix cmd/synth_fabulous cmd/synth_gatemate cmd/synth_gowin cmd/synth_greenpak4 cmd/synth_ice40 cmd/synth_intel cmd/synth_intel_alm cmd/synth_lattice cmd/synth_nexus cmd/synth_quicklogic cmd/synth_sf2 cmd/synth_xilinx cmd/synthprop cmd/tcl cmd/techmap cmd/tee cmd/test_abcloop cmd/test_autotb cmd/test_cell cmd/test_pmgen cmd/torder cmd/trace cmd/tribuf cmd/uniquify cmd/verific cmd/verilog_defaults cmd/verilog_defines cmd/viz cmd/wbflip cmd/wreduce cmd/write_aiger cmd/write_blif cmd/write_btor cmd/write_cxxrtl cmd/write_edif cmd/write_file cmd/write_firrtl cmd/write_ilang cmd/write_intersynth cmd/write_jny cmd/write_json cmd/write_rtlil cmd/write_simplec cmd/write_smt2 cmd/write_smv cmd/write_spice cmd/write_table cmd/write_verilog cmd/write_xaiger cmd/xilinx_dffopt cmd/xilinx_dsp cmd/xilinx_srl cmd/xprop cmd/zinit 
resolving references...
done
writing... done
copying images... [  5%] ../images/basics_abstractions.pdf
copying images... [ 11%] ../images/basics_flow.pdf
copying images... [ 16%] ../images/basics_parsetree.pdf
copying images... [ 22%] ../images/basics_ast.pdf
copying images... [ 27%] ../images/approach_flow.pdf
copying images... [ 33%] ../images/overview_flow.pdf
copying images... [ 38%] ../images/overview_rtlil.pdf
copying images... [ 44%] ../images/verilog_flow.pdf
copying images... [ 50%] ../images/011/example_out.pdf
copying images... [ 55%] ../images/011/splice.pdf
copying images... [ 61%] ../images/011/splitnets_libfile.pdf
copying images... [ 66%] ../images/011/example_03.pdf
copying images... [ 72%] ../images/011/sumprod_00.pdf
copying images... [ 77%] ../images/011/sumprod_01.pdf
copying images... [ 83%] ../images/011/select_prod.pdf
copying images... [ 88%] ../images/011/memdemo_00.pdf
copying images... [ 94%] ../images/011/memdemo_01.pdf
copying images... [100%] ../images/011/submod_dots.pdf

copying TeX support files... copying TeX support files...
done
build succeeded, 2 warnings.

The LaTeX files are in build/latex.
Run 'make' in that directory to run these through (pdf)latex
(use `make latexpdf' here to do that automatically).
Running LaTeX files through pdflatex...
make -C build/latex all-pdf
make[4]: Entering directory '/<<PKGBUILDDIR>>/docs/build/latex'
latexmk -pdf -dvi- -ps-  'yosyshqyosys.tex'
Rc files read:
  /etc/LatexMk
  latexmkrc
Latexmk: This is Latexmk, John Collins, 4 Apr. 2023. Version 4.80.
No existing .aux file, so I'll make a simple one, and require run of *latex.
Latexmk: applying rule 'pdflatex'...
Rule 'pdflatex':  Reasons for rerun
Category 'other':
  Rerun of 'pdflatex' forced or previously required

------------
Run number 1 of rule 'pdflatex'
------------
------------
Running 'pdflatex   -recorder  "yosyshqyosys.tex"'
------------
This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex)
 restricted \write18 enabled.
entering extended mode
(./yosyshqyosys.tex
LaTeX2e <2023-06-01>
L3 programming layer <2023-06-05>
(./sphinxmanual.cls
Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual)
(/usr/share/texlive/texmf-dist/tex/latex/base/report.cls
Document Class: report 2023/05/17 v1.4n Standard LaTeX document class
(/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo)))
(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty)
(/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<<t1.cmap>>)
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty
For additional information on amsmath, use the `?' option.
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty))
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty))
(/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty
(/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)
(/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf))
(/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex)
(/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty
(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty)
(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty)
(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty)))
(/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty)
(/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty
(/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))
(./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty)
(/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty)
(/usr/share/texlive/texmf-dist/tex/latex/float/float.sty)
(/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty)
(/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty)
(/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)))
(./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty)
(./sphinxlatexadmonitions.sty
(/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty))
(./sphinxlatexliterals.sty
(/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty)
(/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty)
(/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty)
(/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty))
(./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty)
(./sphinxhighlight.sty) (./sphinxlatextables.sty
(/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty
(/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty))
(/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty)
(/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty)
(/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty))
(./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty
) (./sphinxlatexindbibtoc.sty
(/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty))
(./sphinxlatexstylepage.sty
(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty
(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty))
(/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty))
(./sphinxlatexstyleheadings.sty
(/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty))
(./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty))
(/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty
(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty
(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)))
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty
(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty
(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))
(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty)
(/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)
(/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty
(/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty)
(/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty))
(/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def)
(/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def)
(/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)
(/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty
(/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty))
(/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty))
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def
(/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty)
(/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty
(/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty)))
(/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty)
(./sphinxmessages.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty)
(/usr/share/texlive/texmf-dist/tex/latex/comment/comment.sty
Excluding comment 'comment')
Writing index file yosyshqyosys.idx
(/usr/share/texmf/tex/latex/lm/t1lmr.fd)
(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)
(./yosyshqyosys.aux)
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii
[Loading MPS to PDF converter (version 2006.09.02).]
) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty
(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))
*geometry* driver: auto-detecting
*geometry* detected driver: pdftex
(/usr/share/texmf/tex/latex/lm/t1lmss.fd)
(/usr/share/texmf/tex/latex/lm/ot1lmr.fd)<<ot1.cmap>>
(/usr/share/texmf/tex/latex/lm/omllmm.fd)<<oml.cmap>>
(/usr/share/texmf/tex/latex/lm/omslmsy.fd)<<oms.cmap>>
(/usr/share/texmf/tex/latex/lm/omxlmex.fd)<<omx.cmap>>
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd)
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo
nts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/enc/dvips/lm/lm-ec.enc
}] [2]
No file yosyshqyosys.toc.
[1] [2] [1] [2]
Chapter 1.
[3]

LaTeX Warning: Hyper reference `CHAPTER_Intro:chapter-intro' on page 4 undefine
d on input line 199.


LaTeX Warning: Reference `CHAPTER_Intro:chapter-intro' on page 4 undefined on i
nput line 199.


LaTeX Warning: Hyper reference `CHAPTER_Basics:chapter-basics' on page 4 undefi
ned on input line 202.


LaTeX Warning: Reference `CHAPTER_Basics:chapter-basics' on page 4 undefined on
 input line 202.


LaTeX Warning: Hyper reference `CHAPTER_Approach:chapter-approach' on page 4 un
defined on input line 207.


LaTeX Warning: Reference `CHAPTER_Approach:chapter-approach' on page 4 undefine
d on input line 207.


LaTeX Warning: Hyper reference `CHAPTER_Overview:chapter-overview' on page 4 un
defined on input line 212.


LaTeX Warning: Reference `CHAPTER_Overview:chapter-overview' on page 4 undefine
d on input line 212.


LaTeX Warning: Hyper reference `CHAPTER_CellLib:chapter-celllib' on page 4 unde
fined on input line 218.


LaTeX Warning: Reference `CHAPTER_CellLib:chapter-celllib' on page 4 undefined 
on input line 218.


LaTeX Warning: Hyper reference `CHAPTER_Prog:chapter-prog' on page 4 undefined 
on input line 223.


LaTeX Warning: Reference `CHAPTER_Prog:chapter-prog' on page 4 undefined on inp
ut line 223.


LaTeX Warning: Hyper reference `CHAPTER_Verilog:chapter-verilog' on page 4 unde
fined on input line 229.


LaTeX Warning: Reference `CHAPTER_Verilog:chapter-verilog' on page 4 undefined 
on input line 229.


LaTeX Warning: Hyper reference `CHAPTER_Optimize:chapter-opt' on page 4 undefin
ed on input line 229.


LaTeX Warning: Reference `CHAPTER_Optimize:chapter-opt' on page 4 undefined on 
input line 229.


LaTeX Warning: Hyper reference `CHAPTER_Techmap:chapter-techmap' on page 4 unde
fined on input line 230.


LaTeX Warning: Reference `CHAPTER_Techmap:chapter-techmap' on page 4 undefined 
on input line 230.


LaTeX Warning: Hyper reference `cmd_ref:cmd-ref' on page 4 undefined on input l
ine 235.

[4]
Chapter 2.

LaTeX Warning: Hyper reference `CHAPTER_Basics:fig-basics-abstractions' on page
 5 undefined on input line 259.


LaTeX Warning: Reference `CHAPTER_Basics:fig-basics-abstractions' on page 5 und
efined on input line 259.

(/usr/share/texmf/tex/latex/lm/t1lmtt.fd) [5 <./basics_abstractions.pdf>]
[6] [7] [8] (/usr/share/texmf/tex/latex/lm/ts1lmr.fd) [9{/usr/share/texmf/fonts
/enc/dvips/lm/lm-ts1.enc}] [10] [11] [12]

LaTeX Warning: Hyper reference `CHAPTER_Basics:fig-basics-flow' on page 13 unde
fined on input line 825.


LaTeX Warning: Reference `CHAPTER_Basics:fig-basics-flow' on page 13 undefined 
on input line 825.

[13 <./basics_flow.pdf>]

LaTeX Warning: Hyper reference `CHAPTER_Basics:tab-basics-tokens' on page 14 un
defined on input line 894.


LaTeX Warning: Reference `CHAPTER_Basics:tab-basics-tokens' on page 14 undefine
d on input line 894.


LaTeX Warning: Hyper reference `CHAPTER_Basics:fig-basics-parsetree' on page 14
 undefined on input line 1008.


LaTeX Warning: Reference `CHAPTER_Basics:fig-basics-parsetree' on page 14 undef
ined on input line 1008.


LaTeX Warning: Hyper reference `CHAPTER_Basics:fig-basics-ast' on page 14 undef
ined on input line 1023.


LaTeX Warning: Reference `CHAPTER_Basics:fig-basics-ast' on page 14 undefined o
n input line 1023.


LaTeX Warning: Hyper reference `CHAPTER_Basics:fig-basics-parsetree' on page 14
 undefined on input line 1024.


LaTeX Warning: Reference `CHAPTER_Basics:fig-basics-parsetree' on page 14 undef
ined on input line 1024.

[14] [15 <./basics_parsetree.pdf> <./basics_ast.pdf>] [16] [17{/usr/share/texmf
/fonts/enc/dvips/lm/lm-rm.enc}] [18]
Chapter 3.

LaTeX Warning: Hyper reference `CHAPTER_Approach:fig-approach-flow' on page 19 
undefined on input line 1206.


LaTeX Warning: Reference `CHAPTER_Approach:fig-approach-flow' on page 19 undefi
ned on input line 1206.

[19 <./approach_flow.pdf>] [20]

LaTeX Warning: Hyper reference `cmd_ref:cmd-ref' on page 21 undefined on input 
line 1356.

[21] [22]
Chapter 4.

LaTeX Warning: Hyper reference `CHAPTER_Overview:fig-overview-flow' on page 23 
undefined on input line 1389.


LaTeX Warning: Reference `CHAPTER_Overview:fig-overview-flow' on page 23 undefi
ned on input line 1389.

[23]

LaTeX Warning: Hyper reference `CHAPTER_Overview:fig-overview-rtlil' on page 24
 undefined on input line 1455.


LaTeX Warning: Reference `CHAPTER_Overview:fig-overview-rtlil' on page 24 undef
ined on input line 1455.

[24{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathit.enc} <./overview_flow.pdf>]
[25 <./overview_rtlil.pdf>]

LaTeX Warning: Hyper reference `CHAPTER_Overview:fig-overview-rtlil' on page 26
 undefined on input line 1591.


LaTeX Warning: Reference `CHAPTER_Overview:fig-overview-rtlil' on page 26 undef
ined on input line 1591.

[26] (/usr/share/texmf/tex/latex/lm/ts1lmtt.fd) [27] [28] [29]

LaTeX Warning: Hyper reference `CHAPTER_CellLib:sec-memcells' on page 30 undefi
ned on input line 1971.


LaTeX Warning: Reference `CHAPTER_CellLib:sec-memcells' on page 30 undefined on
 input line 1971.

[30]

LaTeX Warning: Hyper reference `CHAPTER_Approach:sec-typusecase' on page 31 und
efined on input line 1981.


LaTeX Warning: Reference `CHAPTER_Approach:sec-typusecase' on page 31 undefined
 on input line 1981.


Underfull \hbox (badness 10000) in paragraph at lines 2063--2070
[]\T1/lmr/m/n/10 The top-level Make-file in-cludes fron-tends/*/Makefile.inc, p
asses/*/Makefile.inc and back-
[31]
Underfull \hbox (badness 6110) in paragraph at lines 2071--2074
[]\T1/lmr/m/n/10 Good start-ing points for read-ing ex-am-ple source code to le
arn how to write passes are
[32]
Chapter 5.

LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-unary' on page 33 u
ndefined on input line 2146.


LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-unary' on page 33 undefin
ed on input line 2146.

[33]

LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-binary' on page 34 
undefined on input line 2297.


LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-binary' on page 34 undefi
ned on input line 2297.

[34]

LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-divmod' on page 35 
undefined on input line 2572.


LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-divmod' on page 35 undefi
ned on input line 2572.

[35] [36] [37] [38] [39] [40] [41] [42]

LaTeX Font Warning: Font shape `T1/lmr/b/it' in size <10> not available
(Font)              Font shape `T1/lmr/b/sl' tried instead on input line 3380.

[43] [44]
Underfull \hbox (badness 10000) in paragraph at lines 4280--4280
[]\T1/lmr/m/n/10 $_DFFE_NN0N_, $_SDFFE_NN0N_, $_SDF-

Underfull \hbox (badness 10000) in paragraph at lines 4280--4280
[]\T1/lmr/m/n/10 $_DFFE_NN1N_, $_SDFFE_NN1N_, $_SDF-
[45] [46]

LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates' on page 47 u
ndefined on input line 5086.


LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates' on page 47 undefin
ed on input line 5086.


LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-dffe' on page
 47 undefined on input line 5086.


LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-dffe' on page 47 un
defined on input line 5086.


LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-adff' on page
 47 undefined on input line 5086.


LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-adff' on page 47 un
defined on input line 5086.


LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-adffe' on pag
e 47 undefined on input line 5086.


LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-adffe' on page 47 u
ndefined on input line 5086.


LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-dffsr' on pag
e 47 undefined on input line 5086.


LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-dffsr' on page 47 u
ndefined on input line 5086.


LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-dffsre' on pa
ge 47 undefined on input line 5086.


LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-dffsre' on page 47 
undefined on input line 5086.


LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-adlatch' on p
age 47 undefined on input line 5086.


LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-adlatch' on page 47
 undefined on input line 5086.


LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-dlatchsr' on 
page 47 undefined on input line 5087.


LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-dlatchsr' on page 4
7 undefined on input line 5087.


LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-sr' on page 4
7 undefined on input line 5087.


LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-sr' on page 47 unde
fined on input line 5087.

[47] [48] [49] [50]
Chapter 6.
[51{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc}]
Underfull \vbox (badness 10000) detected at line 5563

Overfull \vbox (1.36998pt too high) detected at line 5563
[52]
Underfull \vbox (badness 10000) detected at line 5563

Overfull \vbox (1.36998pt too high) detected at line 5563
[53]
Underfull \vbox (badness 10000) detected at line 5563

Overfull \vbox (1.36998pt too high) detected at line 5563
[54]
Underfull \vbox (badness 10000) detected at line 5563

Overfull \vbox (1.36998pt too high) detected at line 5563
[55] [56]
Overfull \vbox (0.55905pt too high) detected at line 5743
[57]
Underfull \vbox (badness 10000) detected at line 5743

Overfull \vbox (1.36998pt too high) detected at line 5743
[58] [59] [60]
Chapter 7.

LaTeX Warning: Hyper reference `CHAPTER_Verilog:fig-verilog-flow' on page 61 un
defined on input line 5783.


LaTeX Warning: Reference `CHAPTER_Verilog:fig-verilog-flow' on page 61 undefine
d on input line 5783.

[61 <./verilog_flow.pdf>]
Underfull \hbox (badness 7451) in paragraph at lines 5982--5985
[]|\T1/lmr/m/n/10 Con-struct an iden-ti-fier in the form <pre-

Underfull \hbox (badness 10000) in paragraph at lines 6007--6009
[]|\T1/lmr/m/n/10 AST_BIT_NOT, AST_BIT_AND,

Underfull \hbox (badness 10000) in paragraph at lines 6007--6009
\T1/lmr/m/n/10 AST_BIT_OR, AST_BIT_XOR,

Underfull \hbox (badness 10000) in paragraph at lines 6014--6016
[]|\T1/lmr/m/n/10 AST_REDUCE_AND, AST_REDUCE_OR,

Underfull \hbox (badness 10000) in paragraph at lines 6028--6030
[]|\T1/lmr/m/n/10 AST_SHIFT_LEFT, AST_SHIFT_RIGHT,

Underfull \hbox (badness 10000) in paragraph at lines 6035--6037
[]|\T1/lmr/m/n/10 AST_LT, AST_LE, AST_EQ, AST_NE,

Underfull \hbox (badness 10000) in paragraph at lines 6056--6058
[]|\T1/lmr/m/n/10 AST_LOGIC_AND, AST_LOGIC_OR,

Underfull \hbox (badness 10000) in paragraph at lines 6133--6135
[]|\T1/lmr/m/n/10 AST_GENVAR, AST_GENBLOCK,

Underfull \hbox (badness 10000) in paragraph at lines 6140--6142
[]|\T1/lmr/m/n/10 AST_POSEDGE, AST_NEGEDGE,

Package longtable Warning: Column widths have changed
(longtable)                in table 7.1 on input line 6147.

[62]

LaTeX Warning: Hyper reference `CHAPTER_Verilog:tab-verilog-astnodetype' on pag
e 63 undefined on input line 6156.


LaTeX Warning: Reference `CHAPTER_Verilog:tab-verilog-astnodetype' on page 63 u
ndefined on input line 6156.

[63] [64] [65]
Overfull \vbox (3.56996pt too high) detected at line 6427
[66] [67] [68] [69] [70] [71] [72]
Chapter 8.

LaTeX Warning: Hyper reference `CHAPTER_CellLib:chapter-celllib' on page 73 und
efined on input line 6920.


LaTeX Warning: Reference `CHAPTER_CellLib:chapter-celllib' on page 73 undefined
 on input line 6920.

[73]

LaTeX Warning: Hyper reference `CHAPTER_Optimize:tab-opt-expr-and' on page 74 u
ndefined on input line 7051.


LaTeX Warning: Reference `CHAPTER_Optimize:tab-opt-expr-and' on page 74 undefin
ed on input line 7051.

[74] [75] [76] [77]

LaTeX Warning: Hyper reference `CHAPTER_Techmap:sec-techmap-extern' on page 78 
undefined on input line 7474.


LaTeX Warning: Reference `CHAPTER_Techmap:sec-techmap-extern' on page 78 undefi
ned on input line 7474.

[78]
Chapter 9.

LaTeX Warning: Hyper reference `CHAPTER_CellLib:sec-celllib-gates' on page 79 u
ndefined on input line 7491.


LaTeX Warning: Reference `CHAPTER_CellLib:sec-celllib-gates' on page 79 undefin
ed on input line 7491.


LaTeX Warning: Hyper reference `cmd/techmap::doc' on page 79 undefined on input
 line 7517.

[79]

LaTeX Warning: Hyper reference `appendix/CHAPTER_Auxlibs:sec-subcircuit' on pag
e 80 undefined on input line 7556.


LaTeX Warning: Hyper reference `appendix/CHAPTER_Auxprogs:sec-filterlib' on pag
e 80 undefined on input line 7595.

[80]
Chapter 10.

LaTeX Warning: Hyper reference `CHAPTER_Memorymap:write-port-with-byte-enables'
 on page 81 undefined on input line 7606.

[81]

LaTeX Warning: Hyper reference `CHAPTER_Memorymap:synchronous-read-port-with-in
itial-value' on page 82 undefined on input line 7815.

[82] [83] [84] [85] [86] [87] [88] [89] [90]
Overfull \vbox (0.87445pt too high) detected at line 8430
[91]

LaTeX Warning: Hyper reference `CHAPTER_Memorymap:synchronous-sdp-with-write-fi
rst-behavior' on page 92 undefined on input line 8468.

[92]

LaTeX Warning: Hyper reference `CHAPTER_Memorymap:wide-synchronous-read-port' o
n page 93 undefined on input line 8493.

[93] [94]
Appendix A.

LaTeX Warning: Hyper reference `cmd/extract::doc' on page 95 undefined on input
 line 8579.


LaTeX Warning: Hyper reference `cmd/sat::doc' on page 95 undefined on input lin
e 8588.

[95] [96]
Appendix B.

LaTeX Warning: Hyper reference `CHAPTER_Prog:chapter-prog' on page 97 undefined
 on input line 8605.


LaTeX Warning: Reference `CHAPTER_Prog:chapter-prog' on page 97 undefined on in
put line 8605.


LaTeX Warning: Hyper reference `CHAPTER_Techmap:sec-techmap-extern' on page 97 
undefined on input line 8612.


LaTeX Warning: Reference `CHAPTER_Techmap:sec-techmap-extern' on page 97 undefi
ned on input line 8612.

[97] [98]
Appendix C.
[99] [100]

LaTeX Warning: Hyper reference `CHAPTER_Overview:sec-rtlil-sigspec' on page 101
 undefined on input line 8854.


LaTeX Warning: Reference `CHAPTER_Overview:sec-rtlil-sigspec' on page 101 undef
ined on input line 8854.

[101]

LaTeX Warning: Hyper reference `CHAPTER_Overview:sec-rtlil-cell-wire' on page 1
02 undefined on input line 8882.


LaTeX Warning: Reference `CHAPTER_Overview:sec-rtlil-cell-wire' on page 102 und
efined on input line 8882.


LaTeX Warning: Hyper reference `CHAPTER_Overview:sec-rtlil-memory' on page 102 
undefined on input line 8905.


LaTeX Warning: Reference `CHAPTER_Overview:sec-rtlil-memory' on page 102 undefi
ned on input line 8905.


LaTeX Warning: Hyper reference `CHAPTER_CellLib:sec-memcells' on page 102 undef
ined on input line 8906.


LaTeX Warning: Reference `CHAPTER_CellLib:sec-memcells' on page 102 undefined o
n input line 8906.


LaTeX Warning: Hyper reference `CHAPTER_CellLib:chapter-celllib' on page 102 un
defined on input line 8924.


LaTeX Warning: Reference `CHAPTER_CellLib:chapter-celllib' on page 102 undefine
d on input line 8924.

[102]

LaTeX Warning: Hyper reference `CHAPTER_Overview:sec-rtlil-process' on page 103
 undefined on input line 8945.


LaTeX Warning: Reference `CHAPTER_Overview:sec-rtlil-process' on page 103 undef
ined on input line 8945.

[103] [104]
Appendix D.
[105] [106]

LaTeX Warning: Hyper reference `appendix/APPNOTE_010_Verilog_to_BLIF:glob-arst'
 on page 107 undefined on input line 9217.


LaTeX Warning: Reference `appendix/APPNOTE_010_Verilog_to_BLIF:glob-arst' on pa
ge 107 undefined on input line 9217.


LaTeX Warning: Hyper reference `appendix/APPNOTE_010_Verilog_to_BLIF:amber23-ys
' on page 107 undefined on input line 9228.


LaTeX Warning: Reference `appendix/APPNOTE_010_Verilog_to_BLIF:amber23-ys' on p
age 107 undefined on input line 9228.

[107]

LaTeX Warning: Hyper reference `appendix/APPNOTE_010_Verilog_to_BLIF:adff2dff-v
' on page 108 undefined on input line 9276.


LaTeX Warning: Reference `appendix/APPNOTE_010_Verilog_to_BLIF:adff2dff-v' on p
age 108 undefined on input line 9276.

[108]

LaTeX Warning: Hyper reference `appendix/APPNOTE_010_Verilog_to_BLIF:amber23-ys
' on page 109 undefined on input line 9322.


LaTeX Warning: Reference `appendix/APPNOTE_010_Verilog_to_BLIF:amber23-ys' on p
age 109 undefined on input line 9322.


LaTeX Warning: Hyper reference `appendix/APPNOTE_010_Verilog_to_BLIF:adff2dff-v
' on page 109 undefined on input line 9323.


LaTeX Warning: Reference `appendix/APPNOTE_010_Verilog_to_BLIF:adff2dff-v' on p
age 109 undefined on input line 9323.


LaTeX Warning: Hyper reference `appendix/APPNOTE_010_Verilog_to_BLIF:sieve' on 
page 109 undefined on input line 9325.


LaTeX Warning: Reference `appendix/APPNOTE_010_Verilog_to_BLIF:sieve' on page 1
09 undefined on input line 9325.

[109] [110]
Appendix E.

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:intro
-show' on page 111 undefined on input line 9397.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:navig
ate' on page 111 undefined on input line 9401.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:poke'
 on page 111 undefined on input line 9406.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:concl
usion' on page 111 undefined on input line 9410.

[111]

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp
le-src' on page 112 undefined on input line 9439.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-src
' on page 112 undefined on input line 9439.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp
le-src' on page 112 undefined on input line 9453.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-src
' on page 112 undefined on input line 9453.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp
le-out' on page 112 undefined on input line 9463.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-out
' on page 112 undefined on input line 9463.

[112 <./example_out.pdf>]

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp
le-out' on page 113 undefined on input line 9514.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-out
' on page 113 undefined on input line 9514.



pdfTeX warning: pdflatex (file ./splice.pdf): PDF inclusion: found PDF version 
<1.7>, but at most version <1.5> allowed
[113]

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:splic
e-src' on page 114 undefined on input line 9556.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:splice-src'
 on page 114 undefined on input line 9556.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:split
nets-libfile' on page 114 undefined on input line 9581.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:splitnets-l
ibfile' on page 114 undefined on input line 9581.


Overfull \vbox (290.70384pt too high) has occurred while \output is active
[114 <./splice.pdf>] [115 <./splitnets_libfile.pdf>]

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp
le-src' on page 116 undefined on input line 9658.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-src
' on page 116 undefined on input line 9658.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp
le-src' on page 116 undefined on input line 9658.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-src
' on page 116 undefined on input line 9658.

[116]

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp
le-src' on page 117 undefined on input line 9685.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-src
' on page 117 undefined on input line 9685.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp
le-out' on page 117 undefined on input line 9685.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-out
' on page 117 undefined on input line 9685.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp
le-src' on page 117 undefined on input line 9685.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-src
' on page 117 undefined on input line 9685.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp
le-out' on page 117 undefined on input line 9685.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-out
' on page 117 undefined on input line 9685.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:lscd'
 on page 117 undefined on input line 9711.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:lscd' on pa
ge 117 undefined on input line 9711.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp
le-src' on page 117 undefined on input line 9712.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-src
' on page 117 undefined on input line 9712.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:lscd'
 on page 117 undefined on input line 9715.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:lscd' on pa
ge 117 undefined on input line 9715.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp
le-out' on page 117 undefined on input line 9716.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-out
' on page 117 undefined on input line 9716.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:dump2
' on page 117 undefined on input line 9731.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:dump2' on p
age 117 undefined on input line 9731.

[117]

pdfTeX warning: pdflatex (file ./example_03.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp
le-out' on page 118 undefined on input line 9748.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-out
' on page 118 undefined on input line 9748.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:selad
d' on page 118 undefined on input line 9762.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:seladd' on 
page 118 undefined on input line 9762.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:selad
d' on page 118 undefined on input line 9771.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:seladd' on 
page 118 undefined on input line 9771.

[118 <./example_03.pdf>]

pdfTeX warning: pdflatex (file ./sumprod_00.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr
od' on page 119 undefined on input line 9830.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod' on
 page 119 undefined on input line 9830.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:fooba
raddsub' on page 119 undefined on input line 9845.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:foobaraddsu
b' on page 119 undefined on input line 9845.

[119 <./sumprod_00.pdf>]

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr
od' on page 120 undefined on input line 9861.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod' on
 page 120 undefined on input line 9861.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr
od-00' on page 120 undefined on input line 9868.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod-00'
 on page 120 undefined on input line 9868.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr
od-01' on page 120 undefined on input line 9878.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod-01'
 on page 120 undefined on input line 9878.



pdfTeX warning: pdflatex (file ./sumprod_01.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr
od' on page 120 undefined on input line 9885.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod' on
 page 120 undefined on input line 9885.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr
od-01' on page 120 undefined on input line 9891.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod-01'
 on page 120 undefined on input line 9891.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:selec
t-prod' on page 120 undefined on input line 9903.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:select-prod
' on page 120 undefined on input line 9903.

[120 <./sumprod_01.pdf>]

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde
mo-src' on page 121 undefined on input line 9937.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src
' on page 121 undefined on input line 9937.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde
mo-00' on page 121 undefined on input line 9941.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-00'
 on page 121 undefined on input line 9941.

[121 <./select_prod.pdf>]

pdfTeX warning: pdflatex (file ./memdemo_00.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde
mo-src' on page 122 undefined on input line 9971.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src
' on page 122 undefined on input line 9971.

[122 <./memdemo_00.pdf>]

pdfTeX warning: pdflatex (file ./memdemo_01.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde
mo-01' on page 123 undefined on input line 10046.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-01'
 on page 123 undefined on input line 10046.

[123 <./memdemo_01.pdf>]

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:submo
d' on page 124 undefined on input line 10102.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:submod' on 
page 124 undefined on input line 10102.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde
mo-src' on page 124 undefined on input line 10103.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src
' on page 124 undefined on input line 10103.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde
mo-00' on page 124 undefined on input line 10103.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-00'
 on page 124 undefined on input line 10103.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde
mo-src' on page 124 undefined on input line 10115.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src
' on page 124 undefined on input line 10115.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde
mo-00' on page 124 undefined on input line 10115.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-00'
 on page 124 undefined on input line 10115.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde
mo-src' on page 124 undefined on input line 10115.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src
' on page 124 undefined on input line 10115.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde
mo-00' on page 124 undefined on input line 10115.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-00'
 on page 124 undefined on input line 10115.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:submo
d' on page 124 undefined on input line 10129.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:submod' on 
page 124 undefined on input line 10129.

[124] [125 <./submod_dots.pdf>]

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime
sat' on page 126 undefined on input line 10185.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primesat' o
n page 126 undefined on input line 10185.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime
sat' on page 126 undefined on input line 10185.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primesat' o
n page 126 undefined on input line 10185.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime
test' on page 126 undefined on input line 10196.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primetest' 
on page 126 undefined on input line 10196.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime
test' on page 126 undefined on input line 10196.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primetest' 
on page 126 undefined on input line 10196.


Overfull \vbox (0.72757pt too high) detected at line 10252
[126] [127]

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime
test' on page 128 undefined on input line 10301.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primetest' 
on page 128 undefined on input line 10301.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime
sat' on page 128 undefined on input line 10306.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primesat' o
n page 128 undefined on input line 10306.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime
sat' on page 128 undefined on input line 10314.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primesat' o
n page 128 undefined on input line 10314.

[128]

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde
mo-src' on page 129 undefined on input line 10332.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src
' on page 129 undefined on input line 10332.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde
mo-src' on page 129 undefined on input line 10332.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src
' on page 129 undefined on input line 10332.


Underfull \vbox (badness 10000) detected at line 10405
[129]

LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde
mo-src' on page 130 undefined on input line 10410.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src
' on page 130 undefined on input line 10410.


LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde
mo-sat' on page 130 undefined on input line 10412.


LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-sat
' on page 130 undefined on input line 10412.

[130] [131] [132]
Appendix F.

LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:specifying
-property-assert' on page 133 undefined on input line 10526.


LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:specifying-prope
rty-assert' on page 133 undefined on input line 10526.


LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:specifying
-property-output' on page 133 undefined on input line 10534.


LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:specifying-prope
rty-output' on page 133 undefined on input line 10534.

[133] [134]

LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-scrip
t-memory' on page 135 undefined on input line 10607.


LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-script-memo
ry' on page 135 undefined on input line 10607.


LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-scrip
t-without-memory' on page 135 undefined on input line 10702.


LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-script-with
out-memory' on page 135 undefined on input line 10702.

[135]

LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-memor
y' on page 136 undefined on input line 10747.


LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-memory' on 
page 136 undefined on input line 10747.

[136]

LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-witho
ut-memory' on page 137 undefined on input line 10784.


LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-without-mem
ory' on page 137 undefined on input line 10784.


Underfull \vbox (badness 4328) detected at line 10827
[137] [138]
Appendix G.
Excluding 'comment' comment. [139]
Underfull \vbox (badness 10000) detected at line 11355

Overfull \vbox (1.36998pt too high) detected at line 11355
[140]
Underfull \vbox (badness 10000) detected at line 11355

Overfull \vbox (1.36998pt too high) detected at line 11355
[141] [142] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 11729
[143]
Underfull \vbox (badness 10000) detected at line 11729

Overfull \vbox (1.36998pt too high) detected at line 11729
[144] [145] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 11943
[146] Excluding 'comment' comment. [147] [148] Excluding 'comment' comment.
Excluding 'comment' comment. [149] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [150]
Excluding 'comment' comment. Excluding 'comment' comment. [151]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [152] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 13036
[153] Excluding 'comment' comment. Excluding 'comment' comment. [154]
Excluding 'comment' comment. Excluding 'comment' comment. [155]
Excluding 'comment' comment. Excluding 'comment' comment. [156]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [157]
Excluding 'comment' comment. [158] Excluding 'comment' comment.
Excluding 'comment' comment. [159] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [160] Excluding 'comment' comment. [161]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [162] [163] Excluding 'comment' comment.
Excluding 'comment' comment. [164] [165] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Overfull \vbox (1.62509pt too high) detected at line 14947
[166] Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [167]
Excluding 'comment' comment. Excluding 'comment' comment. [168]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [169] [170] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [171]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment.
Overfull \vbox (1.62509pt too high) detected at line 15922
[172] Excluding 'comment' comment. Excluding 'comment' comment. [173]
Excluding 'comment' comment. [174]
Underfull \vbox (badness 10000) detected at line 16413

Overfull \vbox (1.36998pt too high) detected at line 16413
[175] Excluding 'comment' comment. Excluding 'comment' comment. [176]
Excluding 'comment' comment. Excluding 'comment' comment. [177]
Excluding 'comment' comment. Excluding 'comment' comment. [178]
Excluding 'comment' comment. Excluding 'comment' comment. [179]
Excluding 'comment' comment. [180] Excluding 'comment' comment.
Excluding 'comment' comment. [181] Excluding 'comment' comment. [182]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [183] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [184] Excluding 'comment' comment.
Excluding 'comment' comment. [185] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 18168
[186] Excluding 'comment' comment. [187] Excluding 'comment' comment.
Excluding 'comment' comment. [188] Excluding 'comment' comment. [189]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [190] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [191]
Excluding 'comment' comment. [192] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [193]
Excluding 'comment' comment. Excluding 'comment' comment. [194]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [195] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [196]
Underfull \vbox (badness 10000) detected at line 19824

Overfull \vbox (1.36998pt too high) detected at line 19824
[197] Excluding 'comment' comment. [198] Excluding 'comment' comment.
Excluding 'comment' comment. [199] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [200]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [201] [202]
Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 20684
[203] Excluding 'comment' comment. Excluding 'comment' comment. [204]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [205] Excluding 'comment' comment.
Excluding 'comment' comment. [206] Excluding 'comment' comment.
Excluding 'comment' comment. [207] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [208]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [209]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [210] Excluding 'comment' comment.
Excluding 'comment' comment. [211] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [212]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment.
Overfull \vbox (1.62509pt too high) detected at line 22303
[213] Excluding 'comment' comment. [214] Excluding 'comment' comment.
Excluding 'comment' comment. [215] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [216] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [217]
Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 23037
[218] Excluding 'comment' comment. [219] Excluding 'comment' comment. [220]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [221] Excluding 'comment' comment.
Excluding 'comment' comment. [222] Excluding 'comment' comment.
Excluding 'comment' comment. [223]
Underfull \vbox (badness 10000) detected at line 24182

Overfull \vbox (1.36998pt too high) detected at line 24182
[224]
Underfull \vbox (badness 10000) detected at line 24182

Overfull \vbox (1.36998pt too high) detected at line 24182
[225] Excluding 'comment' comment. Excluding 'comment' comment.
Overfull \vbox (1.92479pt too high) detected at line 24375
[226] [227] Excluding 'comment' comment. Excluding 'comment' comment. [228]
Underfull \vbox (badness 10000) detected at line 24983

Overfull \vbox (1.36998pt too high) detected at line 24983
[229]
Underfull \vbox (badness 10000) detected at line 24983

Overfull \vbox (1.36998pt too high) detected at line 24983
[230] Excluding 'comment' comment. Excluding 'comment' comment. [231]
Excluding 'comment' comment. [232] Excluding 'comment' comment.
Excluding 'comment' comment. [233]
Underfull \vbox (badness 10000) detected at line 25883

Overfull \vbox (1.36998pt too high) detected at line 25883
[234]
Underfull \vbox (badness 10000) detected at line 25883

Overfull \vbox (1.36998pt too high) detected at line 25883
[235]
Underfull \vbox (badness 10000) detected at line 25883

Overfull \vbox (1.36998pt too high) detected at line 25883
[236] [237] Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment.
Overfull \vbox (1.62509pt too high) detected at line 26093
[238] Excluding 'comment' comment. Excluding 'comment' comment. [239]
Excluding 'comment' comment. [240]
Underfull \vbox (badness 10000) detected at line 26533

Overfull \vbox (1.36998pt too high) detected at line 26533
[241] Excluding 'comment' comment. [242] Excluding 'comment' comment. [243]
Underfull \vbox (badness 10000) detected at line 27091

Overfull \vbox (1.36998pt too high) detected at line 27091
[244] Excluding 'comment' comment. Excluding 'comment' comment. [245]
Excluding 'comment' comment. Excluding 'comment' comment. [246]
Excluding 'comment' comment. Excluding 'comment' comment. [247]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment.
Overfull \vbox (1.62509pt too high) detected at line 27911
[248]
Underfull \vbox (badness 10000) detected at line 27911

Overfull \vbox (1.36998pt too high) detected at line 27911
[249] Excluding 'comment' comment. [250]
Underfull \vbox (badness 10000) detected at line 28093

Overfull \vbox (1.36998pt too high) detected at line 28093
[251] Excluding 'comment' comment. [252] [253] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 28550
[254] Excluding 'comment' comment. [255] [256] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 29170
[257]
Underfull \vbox (badness 10000) detected at line 29170

Overfull \vbox (1.36998pt too high) detected at line 29170
[258]
Underfull \vbox (badness 10000) detected at line 29170

Overfull \vbox (1.36998pt too high) detected at line 29170
[259] Excluding 'comment' comment. [260]
Underfull \vbox (badness 10000) detected at line 29408

Overfull \vbox (1.36998pt too high) detected at line 29408
[261] Excluding 'comment' comment. [262]
Underfull \vbox (badness 10000) detected at line 29855

Overfull \vbox (1.36998pt too high) detected at line 29855
[263]
Underfull \vbox (badness 10000) detected at line 29855

Overfull \vbox (1.36998pt too high) detected at line 29855
[264] Excluding 'comment' comment. [265]
Underfull \vbox (badness 10000) detected at line 30237

Overfull \vbox (1.36998pt too high) detected at line 30237
[266]
Underfull \vbox (badness 10000) detected at line 30237

Overfull \vbox (1.36998pt too high) detected at line 30237
[267] Excluding 'comment' comment. [268]
Underfull \vbox (badness 10000) detected at line 30558

Overfull \vbox (1.36998pt too high) detected at line 30558
[269] Excluding 'comment' comment. [270]
Underfull \vbox (badness 10000) detected at line 30775

Overfull \vbox (1.36998pt too high) detected at line 30775
[271] Excluding 'comment' comment. [272]
Underfull \vbox (badness 10000) detected at line 31257

Overfull \vbox (1.36998pt too high) detected at line 31257
[273]
Underfull \vbox (badness 10000) detected at line 31257

Overfull \vbox (1.36998pt too high) detected at line 31257
[274] [275] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 31532
[276]
Underfull \vbox (badness 10000) detected at line 31532

Overfull \vbox (1.36998pt too high) detected at line 31532
[277] Excluding 'comment' comment. [278]
Underfull \vbox (badness 10000) detected at line 31876

Overfull \vbox (1.36998pt too high) detected at line 31876
[279] Excluding 'comment' comment. [280]
Underfull \vbox (badness 10000) detected at line 32315

Overfull \vbox (1.36998pt too high) detected at line 32315
[281]
Underfull \vbox (badness 10000) detected at line 32315

Overfull \vbox (1.36998pt too high) detected at line 32315
[282] [283] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 32706
[284]
Underfull \vbox (badness 10000) detected at line 32706

Overfull \vbox (1.36998pt too high) detected at line 32706
[285] [286] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 32966
[287]
Underfull \vbox (badness 10000) detected at line 32966

Overfull \vbox (1.36998pt too high) detected at line 32966
[288] Excluding 'comment' comment. [289]
Underfull \vbox (badness 10000) detected at line 33233

Overfull \vbox (1.36998pt too high) detected at line 33233
[290] Excluding 'comment' comment. [291]
Underfull \vbox (badness 10000) detected at line 33736

Overfull \vbox (1.36998pt too high) detected at line 33736
[292]
Underfull \vbox (badness 10000) detected at line 33736

Overfull \vbox (1.36998pt too high) detected at line 33736
[293] [294] Excluding 'comment' comment. Excluding 'comment' comment. [295]
Excluding 'comment' comment. [296]
Underfull \vbox (badness 10000) detected at line 34281

Overfull \vbox (1.36998pt too high) detected at line 34281
[297]
Underfull \vbox (badness 10000) detected at line 34281

Overfull \vbox (1.36998pt too high) detected at line 34281
[298] Excluding 'comment' comment. Excluding 'comment' comment. [299]
Excluding 'comment' comment. Excluding 'comment' comment. [300]
Excluding 'comment' comment. [301] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [302]
Excluding 'comment' comment. Excluding 'comment' comment. [303]
Underfull \vbox (badness 10000) detected at line 35374

Overfull \vbox (1.36998pt too high) detected at line 35374
[304]
Underfull \vbox (badness 10000) detected at line 35374

Overfull \vbox (1.36998pt too high) detected at line 35374
[305]
Underfull \vbox (badness 10000) detected at line 35374

Overfull \vbox (1.36998pt too high) detected at line 35374
[306] Excluding 'comment' comment. Excluding 'comment' comment. [307]
Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 35730
[308] Excluding 'comment' comment. Excluding 'comment' comment. [309]
Excluding 'comment' comment. Excluding 'comment' comment. [310]
Underfull \vbox (badness 10000) detected at line 36208

Overfull \vbox (1.36998pt too high) detected at line 36208
[311] Excluding 'comment' comment. Excluding 'comment' comment. [312]
Underfull \vbox (badness 10000) detected at line 36901

Overfull \vbox (1.36998pt too high) detected at line 36901
[313]
Underfull \vbox (badness 10000) detected at line 36901

Overfull \vbox (1.36998pt too high) detected at line 36901
[314]
Underfull \vbox (badness 10000) detected at line 36901

Overfull \vbox (1.36998pt too high) detected at line 36901
[315]
Underfull \vbox (badness 10000) detected at line 36901

Overfull \vbox (1.36998pt too high) detected at line 36901
[316] Excluding 'comment' comment. [317] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [318] Excluding 'comment' comment.
Excluding 'comment' comment. [319]
Underfull \vbox (badness 10000) detected at line 37812

Overfull \vbox (1.36998pt too high) detected at line 37812
[320]
Underfull \vbox (badness 10000) detected at line 37812

Overfull \vbox (1.36998pt too high) detected at line 37812
[321]
Underfull \vbox (badness 10000) detected at line 37812

Overfull \vbox (1.36998pt too high) detected at line 37812
[322]
Underfull \vbox (badness 10000) detected at line 37812

Overfull \vbox (1.36998pt too high) detected at line 37812
[323] Excluding 'comment' comment. Excluding 'comment' comment. [324]
Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 38285
[325]
Underfull \vbox (badness 10000) detected at line 38285

Overfull \vbox (1.36998pt too high) detected at line 38285
[326] [327] Excluding 'comment' comment. Excluding 'comment' comment. [328]
Excluding 'comment' comment. Excluding 'comment' comment. [329]
Underfull \vbox (badness 10000) detected at line 38790

Overfull \vbox (1.36998pt too high) detected at line 38790
[330] Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [331] Excluding 'comment' comment. [332]
Excluding 'comment' comment. [333] Excluding 'comment' comment. [334]
Underfull \hbox (badness 5652) in paragraph at lines 39282--39284
\T1/lmr/m/n/10 (rtl) syn-the-sis. \T1/lmr/m/it/10 IEEE Std 1076.6-2004 (Re-vi-s
ion of IEEE Std 1076.6-1999)\T1/lmr/m/n/10 , 2004.

Underfull \hbox (badness 7685) in paragraph at lines 39285--39287
[]\T1/lmr/m/n/10 IEEE Stan-dards As-so-ci-a-tion and oth-ers. Ieee stan-dard fo
r ver-ilog hard-ware de-

Underfull \hbox (badness 5022) in paragraph at lines 39285--39287
\T1/lmr/m/n/10 scrip-tion lan-guage. \T1/lmr/m/it/10 IEEE Std 1364-2005 (Re-vi-
sion of IEEE Std 1364-2001)\T1/lmr/m/n/10 , 2006.
[335]
No file yosyshqyosys.ind.

Package longtable Warning: Table widths have changed. Rerun LaTeX.

[336] (./yosyshqyosys.aux)

LaTeX Warning: There were undefined references.


LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right.


Package rerunfilecheck Warning: File `yosyshqyosys.out' has changed.
(rerunfilecheck)                Rerun to get outlines right
(rerunfilecheck)                or use package `bookmark'.

 )
(see the transcript file for additional information)</usr/share/texlive/texmf-d
ist/fonts/type1/public/amsfonts/cm/cmr12.pfb></usr/share/texlive/texmf-dist/fon
ts/type1/public/amsfonts/cm/cmr6.pfb></usr/share/texlive/texmf-dist/fonts/type1
/public/amsfonts/cm/cmtt12.pfb></usr/share/texmf/fonts/type1/public/lm/lmb10.pf
b></usr/share/texmf/fonts/type1/public/lm/lmbo10.pfb></usr/share/texmf/fonts/ty
pe1/public/lm/lmcsc10.pfb></usr/share/texmf/fonts/type1/public/lm/lmmi10.pfb></
usr/share/texmf/fonts/type1/public/lm/lmmi5.pfb></usr/share/texmf/fonts/type1/p
ublic/lm/lmr10.pfb></usr/share/texmf/fonts/type1/public/lm/lmr5.pfb></usr/share
/texmf/fonts/type1/public/lm/lmr6.pfb></usr/share/texmf/fonts/type1/public/lm/l
mr7.pfb></usr/share/texmf/fonts/type1/public/lm/lmr8.pfb></usr/share/texmf/font
s/type1/public/lm/lmri10.pfb></usr/share/texmf/fonts/type1/public/lm/lmss10.pfb
></usr/share/texmf/fonts/type1/public/lm/lmssbx10.pfb></usr/share/texmf/fonts/t
ype1/public/lm/lmsy10.pfb></usr/share/texmf/fonts/type1/public/lm/lmsy5.pfb></u
sr/share/texmf/fonts/type1/public/lm/lmtk10.pfb></usr/share/texmf/fonts/type1/p
ublic/lm/lmtt10.pfb>
Output written on yosyshqyosys.pdf (340 pages, 1217644 bytes).
Transcript written on yosyshqyosys.log.
Latexmk: Missing input file 'yosyshqyosys.toc' (or dependence on it) from following:
  No file yosyshqyosys.toc.
Latexmk: Missing input file 'yosyshqyosys.ind' (or dependence on it) from following:
  No file yosyshqyosys.ind.
Latexmk: Getting log file 'yosyshqyosys.log'
Latexmk: Examining 'yosyshqyosys.fls'
Latexmk: Examining 'yosyshqyosys.log'
Latexmk: Index file 'yosyshqyosys.idx' was written
Latexmk: References changed.
Latexmk: References changed.
Latexmk: Log file says output to 'yosyshqyosys.pdf'
Latexmk: applying rule 'makeindex yosyshqyosys.idx'...
Rule 'makeindex yosyshqyosys.idx':  Reasons for rerun
Category 'other':
  Rerun of 'makeindex yosyshqyosys.idx' forced or previously required

------------
Run number 1 of rule 'makeindex yosyshqyosys.idx'
------------
------------
Running 'makeindex -s python.ist  -o "yosyshqyosys.ind" "yosyshqyosys.idx"'
------------
This is makeindex, version 2.17 [TeX Live 2023] (kpathsea + Thai support).
Scanning style file ./python.ist.......done (7 attributes redefined, 0 ignored).
Scanning input file yosyshqyosys.idx...done (0 entries accepted, 0 rejected).
Nothing written in yosyshqyosys.ind.
Transcript written in yosyshqyosys.ilg.
Latexmk: applying rule 'pdflatex'...
Rule 'pdflatex':  Reasons for rerun
Changed files or newly in use/created:
  yosyshqyosys.aux
  yosyshqyosys.ind
  yosyshqyosys.out
  yosyshqyosys.toc

------------
Run number 2 of rule 'pdflatex'
------------
------------
Running 'pdflatex   -recorder  "yosyshqyosys.tex"'
------------
This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex)
 restricted \write18 enabled.
entering extended mode
(./yosyshqyosys.tex
LaTeX2e <2023-06-01>
L3 programming layer <2023-06-05>
(./sphinxmanual.cls
Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual)
(/usr/share/texlive/texmf-dist/tex/latex/base/report.cls
Document Class: report 2023/05/17 v1.4n Standard LaTeX document class
(/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo)))
(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty)
(/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<<t1.cmap>>)
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty
For additional information on amsmath, use the `?' option.
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty))
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty))
(/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty
(/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)
(/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf))
(/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex)
(/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty
(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty)
(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty)
(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty)))
(/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty)
(/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty
(/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))
(./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty)
(/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty)
(/usr/share/texlive/texmf-dist/tex/latex/float/float.sty)
(/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty)
(/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty)
(/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)))
(./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty)
(./sphinxlatexadmonitions.sty
(/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty))
(./sphinxlatexliterals.sty
(/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty)
(/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty)
(/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty)
(/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty))
(./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty)
(./sphinxhighlight.sty) (./sphinxlatextables.sty
(/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty
(/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty))
(/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty)
(/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty)
(/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty))
(./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty
) (./sphinxlatexindbibtoc.sty
(/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty))
(./sphinxlatexstylepage.sty
(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty
(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty))
(/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty))
(./sphinxlatexstyleheadings.sty
(/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty))
(./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty))
(/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty
(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty
(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)))
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty
(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty
(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))
(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty)
(/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)
(/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty
(/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty)
(/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty))
(/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def)
(/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def)
(/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)
(/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty
(/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty))
(/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty))
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def
(/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty)
(/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty
(/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty)))
(/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty)
(./sphinxmessages.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty)
(/usr/share/texlive/texmf-dist/tex/latex/comment/comment.sty
Excluding comment 'comment')
Writing index file yosyshqyosys.idx
(/usr/share/texmf/tex/latex/lm/t1lmr.fd)
(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)
(./yosyshqyosys.aux)
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii
[Loading MPS to PDF converter (version 2006.09.02).]
) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty
(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))
*geometry* driver: auto-detecting
*geometry* detected driver: pdftex
(./yosyshqyosys.out) (./yosyshqyosys.out)
(/usr/share/texmf/tex/latex/lm/t1lmss.fd)
(/usr/share/texmf/tex/latex/lm/ot1lmr.fd)<<ot1.cmap>>
(/usr/share/texmf/tex/latex/lm/omllmm.fd)<<oml.cmap>>
(/usr/share/texmf/tex/latex/lm/omslmsy.fd)<<oms.cmap>>
(/usr/share/texmf/tex/latex/lm/omxlmex.fd)<<omx.cmap>>
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd)
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo
nts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/enc/dvips/lm/lm-ec.enc
}] [2] (./yosyshqyosys.toc [1] [2] [3] [4] [5] [6]) [7] [8] [1] [2]
Chapter 1.
[3] [4]
Chapter 2.
(/usr/share/texmf/tex/latex/lm/t1lmtt.fd) [5 <./basics_abstractions.pdf>]
[6] [7] [8] (/usr/share/texmf/tex/latex/lm/ts1lmr.fd) [9{/usr/share/texmf/fonts
/enc/dvips/lm/lm-ts1.enc}] [10] [11] [12] [13 <./basics_flow.pdf>] [14]
[15 <./basics_parsetree.pdf> <./basics_ast.pdf>] [16] [17{/usr/share/texmf/font
s/enc/dvips/lm/lm-rm.enc}] [18]
Chapter 3.
[19 <./approach_flow.pdf>] [20] [21] [22]
Chapter 4.
[23] [24{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathit.enc} <./overview_flow.pd
f>] [25 <./overview_rtlil.pdf>] [26] (/usr/share/texmf/tex/latex/lm/ts1lmtt.fd)
 [27] [28] [29] [30]
Underfull \hbox (badness 10000) in paragraph at lines 2063--2070
[]\T1/lmr/m/n/10 The top-level Make-file in-cludes fron-tends/*/Makefile.inc, p
asses/*/Makefile.inc and back-
[31]
Underfull \hbox (badness 6110) in paragraph at lines 2071--2074
[]\T1/lmr/m/n/10 Good start-ing points for read-ing ex-am-ple source code to le
arn how to write passes are
[32]
Chapter 5.
[33] [34] [35] [36] [37] [38] [39] [40] [41] [42]

LaTeX Font Warning: Font shape `T1/lmr/b/it' in size <10> not available
(Font)              Font shape `T1/lmr/b/sl' tried instead on input line 3380.

[43] [44]
Underfull \hbox (badness 10000) in paragraph at lines 4280--4280
[]\T1/lmr/m/n/10 $_DFFE_NN0N_, $_SDFFE_NN0N_, $_SDF-

Underfull \hbox (badness 10000) in paragraph at lines 4280--4280
[]\T1/lmr/m/n/10 $_DFFE_NN1N_, $_SDFFE_NN1N_, $_SDF-
[45] [46] [47] [48] [49] [50]
Chapter 6.
[51{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc}]
Underfull \vbox (badness 10000) detected at line 5563

Overfull \vbox (1.36998pt too high) detected at line 5563
[52]
Underfull \vbox (badness 10000) detected at line 5563

Overfull \vbox (1.36998pt too high) detected at line 5563
[53]
Underfull \vbox (badness 10000) detected at line 5563

Overfull \vbox (1.36998pt too high) detected at line 5563
[54]
Underfull \vbox (badness 10000) detected at line 5563

Overfull \vbox (1.36998pt too high) detected at line 5563
[55] [56]
Overfull \vbox (0.55905pt too high) detected at line 5743
[57]
Underfull \vbox (badness 10000) detected at line 5743

Overfull \vbox (1.36998pt too high) detected at line 5743
[58] [59] [60]
Chapter 7.
[61 <./verilog_flow.pdf>]
Underfull \hbox (badness 7451) in paragraph at lines 5982--5985
[]|\T1/lmr/m/n/10 Con-struct an iden-ti-fier in the form <pre-

Underfull \hbox (badness 10000) in paragraph at lines 6007--6009
[]|\T1/lmr/m/n/10 AST_BIT_NOT, AST_BIT_AND,

Underfull \hbox (badness 10000) in paragraph at lines 6007--6009
\T1/lmr/m/n/10 AST_BIT_OR, AST_BIT_XOR,

Underfull \hbox (badness 10000) in paragraph at lines 6014--6016
[]|\T1/lmr/m/n/10 AST_REDUCE_AND, AST_REDUCE_OR,

Underfull \hbox (badness 10000) in paragraph at lines 6028--6030
[]|\T1/lmr/m/n/10 AST_SHIFT_LEFT, AST_SHIFT_RIGHT,

Underfull \hbox (badness 10000) in paragraph at lines 6035--6037
[]|\T1/lmr/m/n/10 AST_LT, AST_LE, AST_EQ, AST_NE,

Underfull \hbox (badness 10000) in paragraph at lines 6056--6058
[]|\T1/lmr/m/n/10 AST_LOGIC_AND, AST_LOGIC_OR,

Underfull \hbox (badness 10000) in paragraph at lines 6133--6135
[]|\T1/lmr/m/n/10 AST_GENVAR, AST_GENBLOCK,

Underfull \hbox (badness 10000) in paragraph at lines 6140--6142
[]|\T1/lmr/m/n/10 AST_POSEDGE, AST_NEGEDGE,
[62] [63] [64] [65]
Overfull \vbox (3.56996pt too high) detected at line 6427
[66] [67] [68] [69] [70] [71] [72]
Chapter 8.
[73] [74] [75] [76] [77] [78]
Chapter 9.
[79] [80]
Chapter 10.
[81] [82] [83] [84] [85] [86] [87] [88] [89] [90]
Overfull \vbox (0.87445pt too high) detected at line 8430
[91] [92] [93] [94]
Appendix A.
[95] [96]
Appendix B.
[97] [98]
Appendix C.
[99] [100] [101] [102] [103] [104]
Appendix D.
[105] [106] [107] [108] [109] [110]
Appendix E.
[111] [112 <./example_out.pdf>]

pdfTeX warning: pdflatex (file ./splice.pdf): PDF inclusion: found PDF version 
<1.7>, but at most version <1.5> allowed
[113]
Overfull \vbox (290.70384pt too high) has occurred while \output is active
[114 <./splice.pdf>] [115 <./splitnets_libfile.pdf>] [116] [117]

pdfTeX warning: pdflatex (file ./example_03.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed
[118 <./example_03.pdf>]

pdfTeX warning: pdflatex (file ./sumprod_00.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed
[119 <./sumprod_00.pdf>]

pdfTeX warning: pdflatex (file ./sumprod_01.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed
[120 <./sumprod_01.pdf>] [121 <./select_prod.pdf>]

pdfTeX warning: pdflatex (file ./memdemo_00.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed
[122 <./memdemo_00.pdf>]

pdfTeX warning: pdflatex (file ./memdemo_01.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed
[123 <./memdemo_01.pdf>] [124] [125 <./submod_dots.pdf>]
Overfull \vbox (0.72757pt too high) detected at line 10252
[126] [127] [128]
Underfull \vbox (badness 10000) detected at line 10405
[129] [130] [131] [132]
Appendix F.
[133] [134] [135] [136]
Underfull \vbox (badness 4328) detected at line 10827
[137] [138]
Appendix G.
Excluding 'comment' comment. [139]
Underfull \vbox (badness 10000) detected at line 11355

Overfull \vbox (1.36998pt too high) detected at line 11355
[140]
Underfull \vbox (badness 10000) detected at line 11355

Overfull \vbox (1.36998pt too high) detected at line 11355
[141] [142] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 11729
[143]
Underfull \vbox (badness 10000) detected at line 11729

Overfull \vbox (1.36998pt too high) detected at line 11729
[144] [145] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 11943
[146] Excluding 'comment' comment. [147] [148] Excluding 'comment' comment.
Excluding 'comment' comment. [149] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [150]
Excluding 'comment' comment. Excluding 'comment' comment. [151]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [152] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 13036
[153] Excluding 'comment' comment. Excluding 'comment' comment. [154]
Excluding 'comment' comment. Excluding 'comment' comment. [155]
Excluding 'comment' comment. Excluding 'comment' comment. [156]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [157]
Excluding 'comment' comment. [158] Excluding 'comment' comment.
Excluding 'comment' comment. [159] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [160] Excluding 'comment' comment. [161]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [162] [163] Excluding 'comment' comment.
Excluding 'comment' comment. [164] [165] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Overfull \vbox (1.62509pt too high) detected at line 14947
[166] Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [167]
Excluding 'comment' comment. Excluding 'comment' comment. [168]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [169] [170] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [171]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment.
Overfull \vbox (1.62509pt too high) detected at line 15922
[172] Excluding 'comment' comment. Excluding 'comment' comment. [173]
Excluding 'comment' comment. [174]
Underfull \vbox (badness 10000) detected at line 16413

Overfull \vbox (1.36998pt too high) detected at line 16413
[175] Excluding 'comment' comment. Excluding 'comment' comment. [176]
Excluding 'comment' comment. Excluding 'comment' comment. [177]
Excluding 'comment' comment. Excluding 'comment' comment. [178]
Excluding 'comment' comment. Excluding 'comment' comment. [179]
Excluding 'comment' comment. [180] Excluding 'comment' comment.
Excluding 'comment' comment. [181] Excluding 'comment' comment. [182]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [183] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [184] Excluding 'comment' comment.
Excluding 'comment' comment. [185] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 18168
[186] Excluding 'comment' comment. [187] Excluding 'comment' comment.
Excluding 'comment' comment. [188] Excluding 'comment' comment. [189]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [190] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [191]
Excluding 'comment' comment. [192] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [193]
Excluding 'comment' comment. Excluding 'comment' comment. [194]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [195] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [196]
Underfull \vbox (badness 10000) detected at line 19824

Overfull \vbox (1.36998pt too high) detected at line 19824
[197] Excluding 'comment' comment. [198] Excluding 'comment' comment.
Excluding 'comment' comment. [199] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [200]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [201] [202]
Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 20684
[203] Excluding 'comment' comment. Excluding 'comment' comment. [204]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [205] Excluding 'comment' comment.
Excluding 'comment' comment. [206] Excluding 'comment' comment.
Excluding 'comment' comment. [207] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [208]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [209]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [210] Excluding 'comment' comment.
Excluding 'comment' comment. [211] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [212]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment.
Overfull \vbox (1.62509pt too high) detected at line 22303
[213] Excluding 'comment' comment. [214] Excluding 'comment' comment.
Excluding 'comment' comment. [215] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [216] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [217]
Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 23037
[218] Excluding 'comment' comment. [219] Excluding 'comment' comment. [220]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [221] Excluding 'comment' comment.
Excluding 'comment' comment. [222] Excluding 'comment' comment.
Excluding 'comment' comment. [223]
Underfull \vbox (badness 10000) detected at line 24182

Overfull \vbox (1.36998pt too high) detected at line 24182
[224]
Underfull \vbox (badness 10000) detected at line 24182

Overfull \vbox (1.36998pt too high) detected at line 24182
[225] Excluding 'comment' comment. Excluding 'comment' comment.
Overfull \vbox (1.92479pt too high) detected at line 24375
[226] [227] Excluding 'comment' comment. Excluding 'comment' comment. [228]
Underfull \vbox (badness 10000) detected at line 24983

Overfull \vbox (1.36998pt too high) detected at line 24983
[229]
Underfull \vbox (badness 10000) detected at line 24983

Overfull \vbox (1.36998pt too high) detected at line 24983
[230] Excluding 'comment' comment. Excluding 'comment' comment. [231]
Excluding 'comment' comment. [232] Excluding 'comment' comment.
Excluding 'comment' comment. [233]
Underfull \vbox (badness 10000) detected at line 25883

Overfull \vbox (1.36998pt too high) detected at line 25883
[234]
Underfull \vbox (badness 10000) detected at line 25883

Overfull \vbox (1.36998pt too high) detected at line 25883
[235]
Underfull \vbox (badness 10000) detected at line 25883

Overfull \vbox (1.36998pt too high) detected at line 25883
[236] [237] Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment.
Overfull \vbox (1.62509pt too high) detected at line 26093
[238] Excluding 'comment' comment. Excluding 'comment' comment. [239]
Excluding 'comment' comment. [240]
Underfull \vbox (badness 10000) detected at line 26533

Overfull \vbox (1.36998pt too high) detected at line 26533
[241] Excluding 'comment' comment. [242] Excluding 'comment' comment. [243]
Underfull \vbox (badness 10000) detected at line 27091

Overfull \vbox (1.36998pt too high) detected at line 27091
[244] Excluding 'comment' comment. Excluding 'comment' comment. [245]
Excluding 'comment' comment. Excluding 'comment' comment. [246]
Excluding 'comment' comment. Excluding 'comment' comment. [247]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment.
Overfull \vbox (1.62509pt too high) detected at line 27911
[248]
Underfull \vbox (badness 10000) detected at line 27911

Overfull \vbox (1.36998pt too high) detected at line 27911
[249] Excluding 'comment' comment. [250]
Underfull \vbox (badness 10000) detected at line 28093

Overfull \vbox (1.36998pt too high) detected at line 28093
[251] Excluding 'comment' comment. [252] [253] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 28550
[254] Excluding 'comment' comment. [255] [256] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 29170
[257]
Underfull \vbox (badness 10000) detected at line 29170

Overfull \vbox (1.36998pt too high) detected at line 29170
[258]
Underfull \vbox (badness 10000) detected at line 29170

Overfull \vbox (1.36998pt too high) detected at line 29170
[259] Excluding 'comment' comment. [260]
Underfull \vbox (badness 10000) detected at line 29408

Overfull \vbox (1.36998pt too high) detected at line 29408
[261] Excluding 'comment' comment. [262]
Underfull \vbox (badness 10000) detected at line 29855

Overfull \vbox (1.36998pt too high) detected at line 29855
[263]
Underfull \vbox (badness 10000) detected at line 29855

Overfull \vbox (1.36998pt too high) detected at line 29855
[264] Excluding 'comment' comment. [265]
Underfull \vbox (badness 10000) detected at line 30237

Overfull \vbox (1.36998pt too high) detected at line 30237
[266]
Underfull \vbox (badness 10000) detected at line 30237

Overfull \vbox (1.36998pt too high) detected at line 30237
[267] Excluding 'comment' comment. [268]
Underfull \vbox (badness 10000) detected at line 30558

Overfull \vbox (1.36998pt too high) detected at line 30558
[269] Excluding 'comment' comment. [270]
Underfull \vbox (badness 10000) detected at line 30775

Overfull \vbox (1.36998pt too high) detected at line 30775
[271] Excluding 'comment' comment. [272]
Underfull \vbox (badness 10000) detected at line 31257

Overfull \vbox (1.36998pt too high) detected at line 31257
[273]
Underfull \vbox (badness 10000) detected at line 31257

Overfull \vbox (1.36998pt too high) detected at line 31257
[274] [275] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 31532
[276]
Underfull \vbox (badness 10000) detected at line 31532

Overfull \vbox (1.36998pt too high) detected at line 31532
[277] Excluding 'comment' comment. [278]
Underfull \vbox (badness 10000) detected at line 31876

Overfull \vbox (1.36998pt too high) detected at line 31876
[279] Excluding 'comment' comment. [280]
Underfull \vbox (badness 10000) detected at line 32315

Overfull \vbox (1.36998pt too high) detected at line 32315
[281]
Underfull \vbox (badness 10000) detected at line 32315

Overfull \vbox (1.36998pt too high) detected at line 32315
[282] [283] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 32706
[284]
Underfull \vbox (badness 10000) detected at line 32706

Overfull \vbox (1.36998pt too high) detected at line 32706
[285] [286] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 32966
[287]
Underfull \vbox (badness 10000) detected at line 32966

Overfull \vbox (1.36998pt too high) detected at line 32966
[288] Excluding 'comment' comment. [289]
Underfull \vbox (badness 10000) detected at line 33233

Overfull \vbox (1.36998pt too high) detected at line 33233
[290] Excluding 'comment' comment. [291]
Underfull \vbox (badness 10000) detected at line 33736

Overfull \vbox (1.36998pt too high) detected at line 33736
[292]
Underfull \vbox (badness 10000) detected at line 33736

Overfull \vbox (1.36998pt too high) detected at line 33736
[293] [294] Excluding 'comment' comment. Excluding 'comment' comment. [295]
Excluding 'comment' comment. [296]
Underfull \vbox (badness 10000) detected at line 34281

Overfull \vbox (1.36998pt too high) detected at line 34281
[297]
Underfull \vbox (badness 10000) detected at line 34281

Overfull \vbox (1.36998pt too high) detected at line 34281
[298] Excluding 'comment' comment. Excluding 'comment' comment. [299]
Excluding 'comment' comment. Excluding 'comment' comment. [300]
Excluding 'comment' comment. [301] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [302]
Excluding 'comment' comment. Excluding 'comment' comment. [303]
Underfull \vbox (badness 10000) detected at line 35374

Overfull \vbox (1.36998pt too high) detected at line 35374
[304]
Underfull \vbox (badness 10000) detected at line 35374

Overfull \vbox (1.36998pt too high) detected at line 35374
[305]
Underfull \vbox (badness 10000) detected at line 35374

Overfull \vbox (1.36998pt too high) detected at line 35374
[306] Excluding 'comment' comment. Excluding 'comment' comment. [307]
Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 35730
[308] Excluding 'comment' comment. Excluding 'comment' comment. [309]
Excluding 'comment' comment. Excluding 'comment' comment. [310]
Underfull \vbox (badness 10000) detected at line 36208

Overfull \vbox (1.36998pt too high) detected at line 36208
[311] Excluding 'comment' comment. Excluding 'comment' comment. [312]
Underfull \vbox (badness 10000) detected at line 36901

Overfull \vbox (1.36998pt too high) detected at line 36901
[313]
Underfull \vbox (badness 10000) detected at line 36901

Overfull \vbox (1.36998pt too high) detected at line 36901
[314]
Underfull \vbox (badness 10000) detected at line 36901

Overfull \vbox (1.36998pt too high) detected at line 36901
[315]
Underfull \vbox (badness 10000) detected at line 36901

Overfull \vbox (1.36998pt too high) detected at line 36901
[316] Excluding 'comment' comment. [317] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [318] Excluding 'comment' comment.
Excluding 'comment' comment. [319]
Underfull \vbox (badness 10000) detected at line 37812

Overfull \vbox (1.36998pt too high) detected at line 37812
[320]
Underfull \vbox (badness 10000) detected at line 37812

Overfull \vbox (1.36998pt too high) detected at line 37812
[321]
Underfull \vbox (badness 10000) detected at line 37812

Overfull \vbox (1.36998pt too high) detected at line 37812
[322]
Underfull \vbox (badness 10000) detected at line 37812

Overfull \vbox (1.36998pt too high) detected at line 37812
[323] Excluding 'comment' comment. Excluding 'comment' comment. [324]
Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 38285
[325]
Underfull \vbox (badness 10000) detected at line 38285

Overfull \vbox (1.36998pt too high) detected at line 38285
[326] [327] Excluding 'comment' comment. Excluding 'comment' comment. [328]
Excluding 'comment' comment. Excluding 'comment' comment. [329]
Underfull \vbox (badness 10000) detected at line 38790

Overfull \vbox (1.36998pt too high) detected at line 38790
[330] Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [331] Excluding 'comment' comment. [332]
Excluding 'comment' comment. [333] Excluding 'comment' comment. [334]
Underfull \hbox (badness 5652) in paragraph at lines 39282--39284
\T1/lmr/m/n/10 (rtl) syn-the-sis. \T1/lmr/m/it/10 IEEE Std 1076.6-2004 (Re-vi-s
ion of IEEE Std 1076.6-1999)\T1/lmr/m/n/10 , 2004.

Underfull \hbox (badness 7685) in paragraph at lines 39285--39287
[]\T1/lmr/m/n/10 IEEE Stan-dards As-so-ci-a-tion and oth-ers. Ieee stan-dard fo
r ver-ilog hard-ware de-

Underfull \hbox (badness 5022) in paragraph at lines 39285--39287
\T1/lmr/m/n/10 scrip-tion lan-guage. \T1/lmr/m/it/10 IEEE Std 1364-2005 (Re-vi-
sion of IEEE Std 1364-2001)\T1/lmr/m/n/10 , 2006.
[335] (./yosyshqyosys.ind) [336] (./yosyshqyosys.aux) )
(see the transcript file for additional information)</usr/share/texlive/texmf-d
ist/fonts/type1/public/amsfonts/cm/cmr12.pfb></usr/share/texlive/texmf-dist/fon
ts/type1/public/amsfonts/cm/cmr6.pfb></usr/share/texlive/texmf-dist/fonts/type1
/public/amsfonts/cm/cmtt12.pfb></usr/share/texmf/fonts/type1/public/lm/lmb10.pf
b></usr/share/texmf/fonts/type1/public/lm/lmbo10.pfb></usr/share/texmf/fonts/ty
pe1/public/lm/lmcsc10.pfb></usr/share/texmf/fonts/type1/public/lm/lmmi10.pfb></
usr/share/texmf/fonts/type1/public/lm/lmmi5.pfb></usr/share/texmf/fonts/type1/p
ublic/lm/lmr10.pfb></usr/share/texmf/fonts/type1/public/lm/lmr5.pfb></usr/share
/texmf/fonts/type1/public/lm/lmr6.pfb></usr/share/texmf/fonts/type1/public/lm/l
mr7.pfb></usr/share/texmf/fonts/type1/public/lm/lmr8.pfb></usr/share/texmf/font
s/type1/public/lm/lmri10.pfb></usr/share/texmf/fonts/type1/public/lm/lmss10.pfb
></usr/share/texmf/fonts/type1/public/lm/lmssbx10.pfb></usr/share/texmf/fonts/t
ype1/public/lm/lmsy10.pfb></usr/share/texmf/fonts/type1/public/lm/lmsy5.pfb></u
sr/share/texmf/fonts/type1/public/lm/lmtk10.pfb></usr/share/texmf/fonts/type1/p
ublic/lm/lmtt10.pfb>
Output written on yosyshqyosys.pdf (346 pages, 1287034 bytes).
Transcript written on yosyshqyosys.log.
Latexmk: Getting log file 'yosyshqyosys.log'
Latexmk: Examining 'yosyshqyosys.fls'
Latexmk: Examining 'yosyshqyosys.log'
Latexmk: Index file 'yosyshqyosys.idx' was written
Latexmk: Log file says output to 'yosyshqyosys.pdf'
Latexmk: applying rule 'pdflatex'...
Rule 'pdflatex':  Reasons for rerun
Changed files or newly in use/created:
  yosyshqyosys.aux

------------
Run number 3 of rule 'pdflatex'
------------
------------
Running 'pdflatex   -recorder  "yosyshqyosys.tex"'
------------
This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex)
 restricted \write18 enabled.
entering extended mode
(./yosyshqyosys.tex
LaTeX2e <2023-06-01>
L3 programming layer <2023-06-05>
(./sphinxmanual.cls
Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual)
(/usr/share/texlive/texmf-dist/tex/latex/base/report.cls
Document Class: report 2023/05/17 v1.4n Standard LaTeX document class
(/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo)))
(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty)
(/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<<t1.cmap>>)
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty
For additional information on amsmath, use the `?' option.
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty))
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty))
(/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty
(/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)
(/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf))
(/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex)
(/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty
(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty)
(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty)
(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty)))
(/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty)
(/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty
(/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))
(./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty)
(/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty)
(/usr/share/texlive/texmf-dist/tex/latex/float/float.sty)
(/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty)
(/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty)
(/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)))
(./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty)
(./sphinxlatexadmonitions.sty
(/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty))
(./sphinxlatexliterals.sty
(/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty)
(/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty)
(/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty)
(/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty))
(./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty)
(./sphinxhighlight.sty) (./sphinxlatextables.sty
(/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty
(/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty))
(/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty)
(/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty)
(/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty))
(./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty
) (./sphinxlatexindbibtoc.sty
(/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty))
(./sphinxlatexstylepage.sty
(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty
(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty))
(/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty))
(./sphinxlatexstyleheadings.sty
(/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty))
(./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty))
(/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty
(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty
(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)))
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty
(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty
(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))
(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty)
(/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)
(/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty
(/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty)
(/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty))
(/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def)
(/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def)
(/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)
(/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty
(/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty))
(/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty))
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def
(/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty)
(/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty
(/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty)))
(/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty)
(./sphinxmessages.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty)
(/usr/share/texlive/texmf-dist/tex/latex/comment/comment.sty
Excluding comment 'comment')
Writing index file yosyshqyosys.idx
(/usr/share/texmf/tex/latex/lm/t1lmr.fd)
(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)
(./yosyshqyosys.aux)
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii
[Loading MPS to PDF converter (version 2006.09.02).]
) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty
(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))
*geometry* driver: auto-detecting
*geometry* detected driver: pdftex
(./yosyshqyosys.out) (./yosyshqyosys.out)
(/usr/share/texmf/tex/latex/lm/t1lmss.fd)
(/usr/share/texmf/tex/latex/lm/ot1lmr.fd)<<ot1.cmap>>
(/usr/share/texmf/tex/latex/lm/omllmm.fd)<<oml.cmap>>
(/usr/share/texmf/tex/latex/lm/omslmsy.fd)<<oms.cmap>>
(/usr/share/texmf/tex/latex/lm/omxlmex.fd)<<omx.cmap>>
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd)
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo
nts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/enc/dvips/lm/lm-ec.enc
}] [2] (./yosyshqyosys.toc [1] [2] [3] [4] [5] [6]) [7] [8] [1] [2]
Chapter 1.
[3] [4]
Chapter 2.
(/usr/share/texmf/tex/latex/lm/t1lmtt.fd) [5 <./basics_abstractions.pdf>]
[6] [7] [8] (/usr/share/texmf/tex/latex/lm/ts1lmr.fd) [9{/usr/share/texmf/fonts
/enc/dvips/lm/lm-ts1.enc}] [10] [11] [12] [13 <./basics_flow.pdf>] [14]
[15 <./basics_parsetree.pdf> <./basics_ast.pdf>] [16] [17{/usr/share/texmf/font
s/enc/dvips/lm/lm-rm.enc}] [18]
Chapter 3.
[19 <./approach_flow.pdf>] [20] [21] [22]
Chapter 4.
[23] [24{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathit.enc} <./overview_flow.pd
f>] [25 <./overview_rtlil.pdf>] [26] (/usr/share/texmf/tex/latex/lm/ts1lmtt.fd)
 [27] [28] [29] [30]
Underfull \hbox (badness 10000) in paragraph at lines 2063--2070
[]\T1/lmr/m/n/10 The top-level Make-file in-cludes fron-tends/*/Makefile.inc, p
asses/*/Makefile.inc and back-
[31]
Underfull \hbox (badness 6110) in paragraph at lines 2071--2074
[]\T1/lmr/m/n/10 Good start-ing points for read-ing ex-am-ple source code to le
arn how to write passes are
[32]
Chapter 5.
[33] [34] [35] [36] [37] [38] [39] [40] [41] [42]

LaTeX Font Warning: Font shape `T1/lmr/b/it' in size <10> not available
(Font)              Font shape `T1/lmr/b/sl' tried instead on input line 3380.

[43] [44]
Underfull \hbox (badness 10000) in paragraph at lines 4280--4280
[]\T1/lmr/m/n/10 $_DFFE_NN0N_, $_SDFFE_NN0N_, $_SDF-

Underfull \hbox (badness 10000) in paragraph at lines 4280--4280
[]\T1/lmr/m/n/10 $_DFFE_NN1N_, $_SDFFE_NN1N_, $_SDF-
[45] [46] [47] [48] [49] [50]
Chapter 6.
[51{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc}]
Underfull \vbox (badness 10000) detected at line 5563

Overfull \vbox (1.36998pt too high) detected at line 5563
[52]
Underfull \vbox (badness 10000) detected at line 5563

Overfull \vbox (1.36998pt too high) detected at line 5563
[53]
Underfull \vbox (badness 10000) detected at line 5563

Overfull \vbox (1.36998pt too high) detected at line 5563
[54]
Underfull \vbox (badness 10000) detected at line 5563

Overfull \vbox (1.36998pt too high) detected at line 5563
[55] [56]
Overfull \vbox (0.55905pt too high) detected at line 5743
[57]
Underfull \vbox (badness 10000) detected at line 5743

Overfull \vbox (1.36998pt too high) detected at line 5743
[58] [59] [60]
Chapter 7.
[61 <./verilog_flow.pdf>]
Underfull \hbox (badness 7451) in paragraph at lines 5982--5985
[]|\T1/lmr/m/n/10 Con-struct an iden-ti-fier in the form <pre-

Underfull \hbox (badness 10000) in paragraph at lines 6007--6009
[]|\T1/lmr/m/n/10 AST_BIT_NOT, AST_BIT_AND,

Underfull \hbox (badness 10000) in paragraph at lines 6007--6009
\T1/lmr/m/n/10 AST_BIT_OR, AST_BIT_XOR,

Underfull \hbox (badness 10000) in paragraph at lines 6014--6016
[]|\T1/lmr/m/n/10 AST_REDUCE_AND, AST_REDUCE_OR,

Underfull \hbox (badness 10000) in paragraph at lines 6028--6030
[]|\T1/lmr/m/n/10 AST_SHIFT_LEFT, AST_SHIFT_RIGHT,

Underfull \hbox (badness 10000) in paragraph at lines 6035--6037
[]|\T1/lmr/m/n/10 AST_LT, AST_LE, AST_EQ, AST_NE,

Underfull \hbox (badness 10000) in paragraph at lines 6056--6058
[]|\T1/lmr/m/n/10 AST_LOGIC_AND, AST_LOGIC_OR,

Underfull \hbox (badness 10000) in paragraph at lines 6133--6135
[]|\T1/lmr/m/n/10 AST_GENVAR, AST_GENBLOCK,

Underfull \hbox (badness 10000) in paragraph at lines 6140--6142
[]|\T1/lmr/m/n/10 AST_POSEDGE, AST_NEGEDGE,
[62] [63] [64] [65]
Overfull \vbox (3.56996pt too high) detected at line 6427
[66] [67] [68] [69] [70] [71] [72]
Chapter 8.
[73] [74] [75] [76] [77] [78]
Chapter 9.
[79] [80]
Chapter 10.
[81] [82] [83] [84] [85] [86] [87] [88] [89] [90]
Overfull \vbox (0.87445pt too high) detected at line 8430
[91] [92] [93] [94]
Appendix A.
[95] [96]
Appendix B.
[97] [98]
Appendix C.
[99] [100] [101] [102] [103] [104]
Appendix D.
[105] [106] [107] [108] [109] [110]
Appendix E.
[111] [112 <./example_out.pdf>]

pdfTeX warning: pdflatex (file ./splice.pdf): PDF inclusion: found PDF version 
<1.7>, but at most version <1.5> allowed
[113]
Overfull \vbox (290.70384pt too high) has occurred while \output is active
[114 <./splice.pdf>] [115 <./splitnets_libfile.pdf>] [116] [117]

pdfTeX warning: pdflatex (file ./example_03.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed
[118 <./example_03.pdf>]

pdfTeX warning: pdflatex (file ./sumprod_00.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed
[119 <./sumprod_00.pdf>]

pdfTeX warning: pdflatex (file ./sumprod_01.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed
[120 <./sumprod_01.pdf>] [121 <./select_prod.pdf>]

pdfTeX warning: pdflatex (file ./memdemo_00.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed
[122 <./memdemo_00.pdf>]

pdfTeX warning: pdflatex (file ./memdemo_01.pdf): PDF inclusion: found PDF vers
ion <1.7>, but at most version <1.5> allowed
[123 <./memdemo_01.pdf>] [124] [125 <./submod_dots.pdf>]
Overfull \vbox (0.72757pt too high) detected at line 10252
[126] [127] [128]
Underfull \vbox (badness 10000) detected at line 10405
[129] [130] [131] [132]
Appendix F.
[133] [134] [135] [136]
Underfull \vbox (badness 4328) detected at line 10827
[137] [138]
Appendix G.
Excluding 'comment' comment. [139]
Underfull \vbox (badness 10000) detected at line 11355

Overfull \vbox (1.36998pt too high) detected at line 11355
[140]
Underfull \vbox (badness 10000) detected at line 11355

Overfull \vbox (1.36998pt too high) detected at line 11355
[141] [142] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 11729
[143]
Underfull \vbox (badness 10000) detected at line 11729

Overfull \vbox (1.36998pt too high) detected at line 11729
[144] [145] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 11943
[146] Excluding 'comment' comment. [147] [148] Excluding 'comment' comment.
Excluding 'comment' comment. [149] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [150]
Excluding 'comment' comment. Excluding 'comment' comment. [151]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [152] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 13036
[153] Excluding 'comment' comment. Excluding 'comment' comment. [154]
Excluding 'comment' comment. Excluding 'comment' comment. [155]
Excluding 'comment' comment. Excluding 'comment' comment. [156]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [157]
Excluding 'comment' comment. [158] Excluding 'comment' comment.
Excluding 'comment' comment. [159] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [160] Excluding 'comment' comment. [161]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [162] [163] Excluding 'comment' comment.
Excluding 'comment' comment. [164] [165] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Overfull \vbox (1.62509pt too high) detected at line 14947
[166] Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [167]
Excluding 'comment' comment. Excluding 'comment' comment. [168]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [169] [170] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [171]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment.
Overfull \vbox (1.62509pt too high) detected at line 15922
[172] Excluding 'comment' comment. Excluding 'comment' comment. [173]
Excluding 'comment' comment. [174]
Underfull \vbox (badness 10000) detected at line 16413

Overfull \vbox (1.36998pt too high) detected at line 16413
[175] Excluding 'comment' comment. Excluding 'comment' comment. [176]
Excluding 'comment' comment. Excluding 'comment' comment. [177]
Excluding 'comment' comment. Excluding 'comment' comment. [178]
Excluding 'comment' comment. Excluding 'comment' comment. [179]
Excluding 'comment' comment. [180] Excluding 'comment' comment.
Excluding 'comment' comment. [181] Excluding 'comment' comment. [182]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [183] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [184] Excluding 'comment' comment.
Excluding 'comment' comment. [185] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 18168
[186] Excluding 'comment' comment. [187] Excluding 'comment' comment.
Excluding 'comment' comment. [188] Excluding 'comment' comment. [189]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [190] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [191]
Excluding 'comment' comment. [192] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [193]
Excluding 'comment' comment. Excluding 'comment' comment. [194]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [195] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [196]
Underfull \vbox (badness 10000) detected at line 19824

Overfull \vbox (1.36998pt too high) detected at line 19824
[197] Excluding 'comment' comment. [198] Excluding 'comment' comment.
Excluding 'comment' comment. [199] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [200]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [201] [202]
Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 20684
[203] Excluding 'comment' comment. Excluding 'comment' comment. [204]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [205] Excluding 'comment' comment.
Excluding 'comment' comment. [206] Excluding 'comment' comment.
Excluding 'comment' comment. [207] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [208]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [209]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [210] Excluding 'comment' comment.
Excluding 'comment' comment. [211] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [212]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment.
Overfull \vbox (1.62509pt too high) detected at line 22303
[213] Excluding 'comment' comment. [214] Excluding 'comment' comment.
Excluding 'comment' comment. [215] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [216] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [217]
Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 23037
[218] Excluding 'comment' comment. [219] Excluding 'comment' comment. [220]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [221] Excluding 'comment' comment.
Excluding 'comment' comment. [222] Excluding 'comment' comment.
Excluding 'comment' comment. [223]
Underfull \vbox (badness 10000) detected at line 24182

Overfull \vbox (1.36998pt too high) detected at line 24182
[224]
Underfull \vbox (badness 10000) detected at line 24182

Overfull \vbox (1.36998pt too high) detected at line 24182
[225] Excluding 'comment' comment. Excluding 'comment' comment.
Overfull \vbox (1.92479pt too high) detected at line 24375
[226] [227] Excluding 'comment' comment. Excluding 'comment' comment. [228]
Underfull \vbox (badness 10000) detected at line 24983

Overfull \vbox (1.36998pt too high) detected at line 24983
[229]
Underfull \vbox (badness 10000) detected at line 24983

Overfull \vbox (1.36998pt too high) detected at line 24983
[230] Excluding 'comment' comment. Excluding 'comment' comment. [231]
Excluding 'comment' comment. [232] Excluding 'comment' comment.
Excluding 'comment' comment. [233]
Underfull \vbox (badness 10000) detected at line 25883

Overfull \vbox (1.36998pt too high) detected at line 25883
[234]
Underfull \vbox (badness 10000) detected at line 25883

Overfull \vbox (1.36998pt too high) detected at line 25883
[235]
Underfull \vbox (badness 10000) detected at line 25883

Overfull \vbox (1.36998pt too high) detected at line 25883
[236] [237] Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment.
Overfull \vbox (1.62509pt too high) detected at line 26093
[238] Excluding 'comment' comment. Excluding 'comment' comment. [239]
Excluding 'comment' comment. [240]
Underfull \vbox (badness 10000) detected at line 26533

Overfull \vbox (1.36998pt too high) detected at line 26533
[241] Excluding 'comment' comment. [242] Excluding 'comment' comment. [243]
Underfull \vbox (badness 10000) detected at line 27091

Overfull \vbox (1.36998pt too high) detected at line 27091
[244] Excluding 'comment' comment. Excluding 'comment' comment. [245]
Excluding 'comment' comment. Excluding 'comment' comment. [246]
Excluding 'comment' comment. Excluding 'comment' comment. [247]
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment.
Overfull \vbox (1.62509pt too high) detected at line 27911
[248]
Underfull \vbox (badness 10000) detected at line 27911

Overfull \vbox (1.36998pt too high) detected at line 27911
[249] Excluding 'comment' comment. [250]
Underfull \vbox (badness 10000) detected at line 28093

Overfull \vbox (1.36998pt too high) detected at line 28093
[251] Excluding 'comment' comment. [252] [253] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 28550
[254] Excluding 'comment' comment. [255] [256] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 29170
[257]
Underfull \vbox (badness 10000) detected at line 29170

Overfull \vbox (1.36998pt too high) detected at line 29170
[258]
Underfull \vbox (badness 10000) detected at line 29170

Overfull \vbox (1.36998pt too high) detected at line 29170
[259] Excluding 'comment' comment. [260]
Underfull \vbox (badness 10000) detected at line 29408

Overfull \vbox (1.36998pt too high) detected at line 29408
[261] Excluding 'comment' comment. [262]
Underfull \vbox (badness 10000) detected at line 29855

Overfull \vbox (1.36998pt too high) detected at line 29855
[263]
Underfull \vbox (badness 10000) detected at line 29855

Overfull \vbox (1.36998pt too high) detected at line 29855
[264] Excluding 'comment' comment. [265]
Underfull \vbox (badness 10000) detected at line 30237

Overfull \vbox (1.36998pt too high) detected at line 30237
[266]
Underfull \vbox (badness 10000) detected at line 30237

Overfull \vbox (1.36998pt too high) detected at line 30237
[267] Excluding 'comment' comment. [268]
Underfull \vbox (badness 10000) detected at line 30558

Overfull \vbox (1.36998pt too high) detected at line 30558
[269] Excluding 'comment' comment. [270]
Underfull \vbox (badness 10000) detected at line 30775

Overfull \vbox (1.36998pt too high) detected at line 30775
[271] Excluding 'comment' comment. [272]
Underfull \vbox (badness 10000) detected at line 31257

Overfull \vbox (1.36998pt too high) detected at line 31257
[273]
Underfull \vbox (badness 10000) detected at line 31257

Overfull \vbox (1.36998pt too high) detected at line 31257
[274] [275] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 31532
[276]
Underfull \vbox (badness 10000) detected at line 31532

Overfull \vbox (1.36998pt too high) detected at line 31532
[277] Excluding 'comment' comment. [278]
Underfull \vbox (badness 10000) detected at line 31876

Overfull \vbox (1.36998pt too high) detected at line 31876
[279] Excluding 'comment' comment. [280]
Underfull \vbox (badness 10000) detected at line 32315

Overfull \vbox (1.36998pt too high) detected at line 32315
[281]
Underfull \vbox (badness 10000) detected at line 32315

Overfull \vbox (1.36998pt too high) detected at line 32315
[282] [283] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 32706
[284]
Underfull \vbox (badness 10000) detected at line 32706

Overfull \vbox (1.36998pt too high) detected at line 32706
[285] [286] Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 32966
[287]
Underfull \vbox (badness 10000) detected at line 32966

Overfull \vbox (1.36998pt too high) detected at line 32966
[288] Excluding 'comment' comment. [289]
Underfull \vbox (badness 10000) detected at line 33233

Overfull \vbox (1.36998pt too high) detected at line 33233
[290] Excluding 'comment' comment. [291]
Underfull \vbox (badness 10000) detected at line 33736

Overfull \vbox (1.36998pt too high) detected at line 33736
[292]
Underfull \vbox (badness 10000) detected at line 33736

Overfull \vbox (1.36998pt too high) detected at line 33736
[293] [294] Excluding 'comment' comment. Excluding 'comment' comment. [295]
Excluding 'comment' comment. [296]
Underfull \vbox (badness 10000) detected at line 34281

Overfull \vbox (1.36998pt too high) detected at line 34281
[297]
Underfull \vbox (badness 10000) detected at line 34281

Overfull \vbox (1.36998pt too high) detected at line 34281
[298] Excluding 'comment' comment. Excluding 'comment' comment. [299]
Excluding 'comment' comment. Excluding 'comment' comment. [300]
Excluding 'comment' comment. [301] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment. [302]
Excluding 'comment' comment. Excluding 'comment' comment. [303]
Underfull \vbox (badness 10000) detected at line 35374

Overfull \vbox (1.36998pt too high) detected at line 35374
[304]
Underfull \vbox (badness 10000) detected at line 35374

Overfull \vbox (1.36998pt too high) detected at line 35374
[305]
Underfull \vbox (badness 10000) detected at line 35374

Overfull \vbox (1.36998pt too high) detected at line 35374
[306] Excluding 'comment' comment. Excluding 'comment' comment. [307]
Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 35730
[308] Excluding 'comment' comment. Excluding 'comment' comment. [309]
Excluding 'comment' comment. Excluding 'comment' comment. [310]
Underfull \vbox (badness 10000) detected at line 36208

Overfull \vbox (1.36998pt too high) detected at line 36208
[311] Excluding 'comment' comment. Excluding 'comment' comment. [312]
Underfull \vbox (badness 10000) detected at line 36901

Overfull \vbox (1.36998pt too high) detected at line 36901
[313]
Underfull \vbox (badness 10000) detected at line 36901

Overfull \vbox (1.36998pt too high) detected at line 36901
[314]
Underfull \vbox (badness 10000) detected at line 36901

Overfull \vbox (1.36998pt too high) detected at line 36901
[315]
Underfull \vbox (badness 10000) detected at line 36901

Overfull \vbox (1.36998pt too high) detected at line 36901
[316] Excluding 'comment' comment. [317] Excluding 'comment' comment.
Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [318] Excluding 'comment' comment.
Excluding 'comment' comment. [319]
Underfull \vbox (badness 10000) detected at line 37812

Overfull \vbox (1.36998pt too high) detected at line 37812
[320]
Underfull \vbox (badness 10000) detected at line 37812

Overfull \vbox (1.36998pt too high) detected at line 37812
[321]
Underfull \vbox (badness 10000) detected at line 37812

Overfull \vbox (1.36998pt too high) detected at line 37812
[322]
Underfull \vbox (badness 10000) detected at line 37812

Overfull \vbox (1.36998pt too high) detected at line 37812
[323] Excluding 'comment' comment. Excluding 'comment' comment. [324]
Excluding 'comment' comment.
Underfull \vbox (badness 7151) detected at line 38285
[325]
Underfull \vbox (badness 10000) detected at line 38285

Overfull \vbox (1.36998pt too high) detected at line 38285
[326] [327] Excluding 'comment' comment. Excluding 'comment' comment. [328]
Excluding 'comment' comment. Excluding 'comment' comment. [329]
Underfull \vbox (badness 10000) detected at line 38790

Overfull \vbox (1.36998pt too high) detected at line 38790
[330] Excluding 'comment' comment. Excluding 'comment' comment.
Excluding 'comment' comment. [331] Excluding 'comment' comment. [332]
Excluding 'comment' comment. [333] Excluding 'comment' comment. [334]
Underfull \hbox (badness 5652) in paragraph at lines 39282--39284
\T1/lmr/m/n/10 (rtl) syn-the-sis. \T1/lmr/m/it/10 IEEE Std 1076.6-2004 (Re-vi-s
ion of IEEE Std 1076.6-1999)\T1/lmr/m/n/10 , 2004.

Underfull \hbox (badness 7685) in paragraph at lines 39285--39287
[]\T1/lmr/m/n/10 IEEE Stan-dards As-so-ci-a-tion and oth-ers. Ieee stan-dard fo
r ver-ilog hard-ware de-

Underfull \hbox (badness 5022) in paragraph at lines 39285--39287
\T1/lmr/m/n/10 scrip-tion lan-guage. \T1/lmr/m/it/10 IEEE Std 1364-2005 (Re-vi-
sion of IEEE Std 1364-2001)\T1/lmr/m/n/10 , 2006.
[335] (./yosyshqyosys.ind) [336] (./yosyshqyosys.aux) )
(see the transcript file for additional information)</usr/share/texlive/texmf-d
ist/fonts/type1/public/amsfonts/cm/cmr12.pfb></usr/share/texlive/texmf-dist/fon
ts/type1/public/amsfonts/cm/cmr6.pfb></usr/share/texlive/texmf-dist/fonts/type1
/public/amsfonts/cm/cmtt12.pfb></usr/share/texmf/fonts/type1/public/lm/lmb10.pf
b></usr/share/texmf/fonts/type1/public/lm/lmbo10.pfb></usr/share/texmf/fonts/ty
pe1/public/lm/lmcsc10.pfb></usr/share/texmf/fonts/type1/public/lm/lmmi10.pfb></
usr/share/texmf/fonts/type1/public/lm/lmmi5.pfb></usr/share/texmf/fonts/type1/p
ublic/lm/lmr10.pfb></usr/share/texmf/fonts/type1/public/lm/lmr5.pfb></usr/share
/texmf/fonts/type1/public/lm/lmr6.pfb></usr/share/texmf/fonts/type1/public/lm/l
mr7.pfb></usr/share/texmf/fonts/type1/public/lm/lmr8.pfb></usr/share/texmf/font
s/type1/public/lm/lmri10.pfb></usr/share/texmf/fonts/type1/public/lm/lmss10.pfb
></usr/share/texmf/fonts/type1/public/lm/lmssbx10.pfb></usr/share/texmf/fonts/t
ype1/public/lm/lmsy10.pfb></usr/share/texmf/fonts/type1/public/lm/lmsy5.pfb></u
sr/share/texmf/fonts/type1/public/lm/lmtk10.pfb></usr/share/texmf/fonts/type1/p
ublic/lm/lmtt10.pfb>
Output written on yosyshqyosys.pdf (346 pages, 1287034 bytes).
Transcript written on yosyshqyosys.log.
Latexmk: Getting log file 'yosyshqyosys.log'
Latexmk: Examining 'yosyshqyosys.fls'
Latexmk: Examining 'yosyshqyosys.log'
Latexmk: Index file 'yosyshqyosys.idx' was written
Latexmk: Log file says output to 'yosyshqyosys.pdf'
Latexmk: All targets () are up-to-date

make[4]: Leaving directory '/<<PKGBUILDDIR>>/docs/build/latex'
pdflatex finished; the PDF files are in build/latex.
make[3]: Leaving directory '/<<PKGBUILDDIR>>/docs'
make[2]: Leaving directory '/<<PKGBUILDDIR>>'
cd manual/ && ./presentation.sh
+ false
+ md5sum '*.aux' '*.snm' '*.nav' '*.toc'
md5sum: '*.aux': No such file or directory
md5sum: '*.snm': No such file or directory
md5sum: '*.nav': No such file or directory
md5sum: '*.toc': No such file or directory
+ make -C PRESENTATION_Intro
make[2]: Entering directory '/<<PKGBUILDDIR>>/manual/PRESENTATION_Intro'
../../yosys counter.ys

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Executing script file `counter.ys' --

1. Executing Verilog-2005 frontend: counter.v
Parsing Verilog input from `counter.v' to AST representation.
Generating RTLIL representation for module `\counter'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \counter

2.2. Analyzing design hierarchy..
Top module:  \counter
Removed 0 unused modules.

3. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `counter_00.dot'.
Dumping module counter to page 1.
Exec: dot -Tpdf 'counter_00.dot' > 'counter_00.pdf.new' && mv 'counter_00.pdf.new' 'counter_00.pdf'

4. Executing PROC pass (convert processes to netlists).

4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter.
Removed a total of 0 dead cases.

4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 0 assignments to connections.

4.4. Executing PROC_INIT pass (extract init attributes).

4.5. Executing PROC_ARST pass (detect async resets in processes).

4.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.
<suppressed ~2 debug messages>

4.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\counter.$proc$counter.v:6$1'.
     1/1: $0\count[1:0]

4.8. Executing PROC_DLATCH pass (convert process syncs to latches).

4.9. Executing PROC_DFF pass (convert process syncs to FFs).
Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'.
  created $dff cell `$procdff$8' with positive edge clock.

4.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'.
Removing empty process `counter.$proc$counter.v:6$1'.
Cleaned up 2 empty switches.

4.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module counter.

5. Executing OPT pass (performing simple optimizations).

5.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module counter.

5.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\counter'.
Removed a total of 0 cells.

5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \counter..
  Creating internal representation of mux trees.
  Evaluating internal representation of mux trees.
  Analyzing evaluation results.
Removed 0 multiplexer ports.
<suppressed ~1 debug messages>

5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \counter.
Performed a total of 0 changes.

5.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\counter'.
Removed a total of 0 cells.

5.6. Executing OPT_DFF pass (perform DFF optimizations).
Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00).
Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count).

5.7. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \counter..
Removed 2 unused cells and 5 unused wires.
<suppressed ~3 debug messages>

5.8. Executing OPT_EXPR pass (perform const folding).
Optimizing module counter.

5.9. Rerunning OPT passes. (Maybe there is more to do..)

5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \counter..
  Creating internal representation of mux trees.
  No muxes found in this module.
Removed 0 multiplexer ports.

5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \counter.
Performed a total of 0 changes.

5.12. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\counter'.
Removed a total of 0 cells.

5.13. Executing OPT_DFF pass (perform DFF optimizations).

5.14. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \counter..

5.15. Executing OPT_EXPR pass (perform const folding).
Optimizing module counter.

5.16. Finished OPT passes. (There is nothing left to do.)

6. Executing MEMORY pass.

6.1. Executing OPT_MEM pass (optimize memories).
Performed a total of 0 transformations.

6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations).
Performed a total of 0 transformations.

6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths).

6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs).

6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd).

6.6. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \counter..

6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells).

6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide).
Performed a total of 0 transformations.

6.9. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \counter..

6.10. Executing MEMORY_COLLECT pass (generating $mem cells).

6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops).

7. Executing OPT pass (performing simple optimizations).

7.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module counter.

7.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\counter'.
Removed a total of 0 cells.

7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \counter..
  Creating internal representation of mux trees.
  No muxes found in this module.
Removed 0 multiplexer ports.

7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \counter.
Performed a total of 0 changes.

7.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\counter'.
Removed a total of 0 cells.

7.6. Executing OPT_DFF pass (perform DFF optimizations).

7.7. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \counter..

7.8. Executing OPT_EXPR pass (perform const folding).
Optimizing module counter.

7.9. Finished OPT passes. (There is nothing left to do.)

8. Executing FSM pass (extract and optimize FSM).

8.1. Executing FSM_DETECT pass (finding FSMs in design).

8.2. Executing FSM_EXTRACT pass (extracting FSM from design).

8.3. Executing FSM_OPT pass (simple optimizations of FSMs).

8.4. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \counter..

8.5. Executing FSM_OPT pass (simple optimizations of FSMs).

8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding).

8.7. Executing FSM_INFO pass (dumping all available information on FSM cells).

8.8. Executing FSM_MAP pass (mapping FSMs to basic logic).

9. Executing OPT pass (performing simple optimizations).

9.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module counter.

9.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\counter'.
Removed a total of 0 cells.

9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \counter..
  Creating internal representation of mux trees.
  No muxes found in this module.
Removed 0 multiplexer ports.

9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \counter.
Performed a total of 0 changes.

9.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\counter'.
Removed a total of 0 cells.

9.6. Executing OPT_DFF pass (perform DFF optimizations).

9.7. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \counter..

9.8. Executing OPT_EXPR pass (perform const folding).
Optimizing module counter.

9.9. Finished OPT passes. (There is nothing left to do.)

10. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `counter_01.dot'.
Dumping module counter to page 1.
Exec: dot -Tpdf 'counter_01.dot' > 'counter_01.pdf.new' && mv 'counter_01.pdf.new' 'counter_01.pdf'

11. Executing TECHMAP pass (map to technology primitives).

11.1. Executing Verilog-2005 frontend: /<<PKGBUILDDIR>>/share/techmap.v
Parsing Verilog input from `/<<PKGBUILDDIR>>/share/techmap.v' to AST representation.
Generating RTLIL representation for module `\_90_simplemap_bool_ops'.
Generating RTLIL representation for module `\_90_simplemap_reduce_ops'.
Generating RTLIL representation for module `\_90_simplemap_logic_ops'.
Generating RTLIL representation for module `\_90_simplemap_compare_ops'.
Generating RTLIL representation for module `\_90_simplemap_various'.
Generating RTLIL representation for module `\_90_simplemap_registers'.
Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'.
Generating RTLIL representation for module `\_90_shift_shiftx'.
Generating RTLIL representation for module `\_90_fa'.
Generating RTLIL representation for module `\_90_lcu'.
Generating RTLIL representation for module `\_90_alu'.
Generating RTLIL representation for module `\_90_macc'.
Generating RTLIL representation for module `\_90_alumacc'.
Generating RTLIL representation for module `\$__div_mod_u'.
Generating RTLIL representation for module `\$__div_mod_trunc'.
Generating RTLIL representation for module `\_90_div'.
Generating RTLIL representation for module `\_90_mod'.
Generating RTLIL representation for module `\$__div_mod_floor'.
Generating RTLIL representation for module `\_90_divfloor'.
Generating RTLIL representation for module `\_90_modfloor'.
Generating RTLIL representation for module `\_90_pow'.
Generating RTLIL representation for module `\_90_pmux'.
Generating RTLIL representation for module `\_90_demux'.
Generating RTLIL representation for module `\_90_lut'.
Successfully finished Verilog frontend.

11.2. Continuing TECHMAP pass.
Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47.
Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47.
Using extmapper simplemap for cells of type $sdffe.
Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu.
Using extmapper simplemap for cells of type $xor.
Using extmapper simplemap for cells of type $and.
Using template $paramod\_90_lcu\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu.
Using extmapper simplemap for cells of type $pos.
Using extmapper simplemap for cells of type $mux.
Using extmapper simplemap for cells of type $not.
Using extmapper simplemap for cells of type $or.
No more expansions possible.
<suppressed ~229 debug messages>

12. Executing OPT pass (performing simple optimizations).

12.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module counter.
<suppressed ~11 debug messages>

12.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\counter'.
<suppressed ~3 debug messages>
Removed a total of 1 cells.

12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \counter..
  Creating internal representation of mux trees.
  No muxes found in this module.
Removed 0 multiplexer ports.

12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \counter.
Performed a total of 0 changes.

12.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\counter'.
Removed a total of 0 cells.

12.6. Executing OPT_DFF pass (perform DFF optimizations).

12.7. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \counter..
Removed 2 unused cells and 32 unused wires.
<suppressed ~3 debug messages>

12.8. Executing OPT_EXPR pass (perform const folding).
Optimizing module counter.

12.9. Rerunning OPT passes. (Maybe there is more to do..)

12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \counter..
  Creating internal representation of mux trees.
  No muxes found in this module.
Removed 0 multiplexer ports.

12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \counter.
Performed a total of 0 changes.

12.12. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\counter'.
Removed a total of 0 cells.

12.13. Executing OPT_DFF pass (perform DFF optimizations).

12.14. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \counter..

12.15. Executing OPT_EXPR pass (perform const folding).
Optimizing module counter.

12.16. Finished OPT passes. (There is nothing left to do.)

13. Executing SPLITNETS pass (splitting up multi-bit signals).
Removed 0 unused cells and 2 unused wires.

14. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `counter_02.dot'.
Dumping module counter to page 1.
Exec: dot -Tpdf 'counter_02.dot' > 'counter_02.pdf.new' && mv 'counter_02.pdf.new' 'counter_02.pdf'

15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file).
  cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_.
  final dff cell mappings:
    unmapped dff cell: $_DFF_N_
    \DFF _DFF_P_ (.C( C), .D( D), .Q( Q));
    unmapped dff cell: $_DFF_NN0_
    unmapped dff cell: $_DFF_NN1_
    unmapped dff cell: $_DFF_NP0_
    unmapped dff cell: $_DFF_NP1_
    unmapped dff cell: $_DFF_PN0_
    unmapped dff cell: $_DFF_PN1_
    unmapped dff cell: $_DFF_PP0_
    unmapped dff cell: $_DFF_PP1_
    unmapped dff cell: $_DFFSR_NNN_
    unmapped dff cell: $_DFFSR_NNP_
    unmapped dff cell: $_DFFSR_NPN_
    unmapped dff cell: $_DFFSR_NPP_
    unmapped dff cell: $_DFFSR_PNN_
    unmapped dff cell: $_DFFSR_PNP_
    unmapped dff cell: $_DFFSR_PPN_
    unmapped dff cell: $_DFFSR_PPP_

15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target).
Mapping DFF cells in module `\counter':
  mapped 2 $_DFF_P_ cells to \DFF cells.

16. Executing ABC pass (technology mapping using ABC).

16.1. Extracting gate netlist of module `\counter' to `<abc-temp-dir>/input.blif'..
Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs.

16.1.1. Executing ABC.
Running ABC command: "<yosys-exe-dir>/yosys-abc" -s -f <abc-temp-dir>/abc.script 2>&1
ABC: ABC command line: "source <abc-temp-dir>/abc.script".
ABC: 
ABC: + read_blif <abc-temp-dir>/input.blif 
ABC: + read_lib -w <yosys-exe-dir>/manual/PRESENTATION_Intro/mycells.lib 
ABC: Parsing finished successfully.  Parsing time =     0.00 sec
ABC: Warning: Templates are not defined.
ABC: Libery parser cannot read "time_unit".  Assuming   time_unit : "1ns".
ABC: Libery parser cannot read "capacitive_load_unit". Assuming   capacitive_load_unit(1, pf).
ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF".
ABC: Library "demo" from "<yosys-exe-dir>/manual/PRESENTATION_Intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use).  Time =     0.00 sec
ABC: Memory =    0.01 MB. Time =     0.00 sec
ABC: + strash 
ABC: + &get -n 
ABC: + &fraig -x 
ABC: + &put 
ABC: + scorr 
ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep").
ABC: + dc2 
ABC: + dretime 
ABC: + strash 
ABC: + &get -n 
ABC: + &dch -f 
ABC: + &nf 
ABC: + &put 
ABC: + write_blif <abc-temp-dir>/output.blif 

16.1.2. Re-integrating ABC results.
ABC RESULTS:              NAND cells:        3
ABC RESULTS:               NOT cells:        2
ABC RESULTS:               NOR cells:        5
ABC RESULTS:        internal signals:        5
ABC RESULTS:           input signals:        4
ABC RESULTS:          output signals:        2
Removing temp directory.
Removed 0 unused cells and 10 unused wires.

17. Generating Graphviz representation of design.

17.1. Executing Verilog-2005 frontend: mycells.v
Parsing Verilog input from `mycells.v' to AST representation.
Generating RTLIL representation for module `\NOT'.
Generating RTLIL representation for module `\NAND'.
Generating RTLIL representation for module `\NOR'.
Generating RTLIL representation for module `\DFF'.
Successfully finished Verilog frontend.

17.2. Continuing show pass.
Fontconfig error: No writable cache directories
Writing dot description to `counter_03.dot'.
Dumping module counter to page 1.
Exec: dot -Tpdf 'counter_03.dot' > 'counter_03.pdf.new' && mv 'counter_03.pdf.new' 'counter_03.pdf'

End of script. Logfile hash: feeebef9da, CPU: user 0.04s system 0.00s, MEM: 12.54 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 88% 4x show (0 sec), 6% 1x abc (0 sec), ...
make[2]: Leaving directory '/<<PKGBUILDDIR>>/manual/PRESENTATION_Intro'
+ make -C PRESENTATION_ExSyn
make[2]: Entering directory '/<<PKGBUILDDIR>>/manual/PRESENTATION_ExSyn'
../../yosys -p 'script proc_01.ys; show -notitle -prefix proc_01 -format pdf'

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `script proc_01.ys; show -notitle -prefix proc_01 -format pdf' --

-- Executing script file `proc_01.ys' --

1. Executing Verilog-2005 frontend: proc_01.v
Parsing Verilog input from `proc_01.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.

3. Executing PROC pass (convert processes to netlists).

3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Marked 1 switch rules as full_case in process $proc$proc_01.v:2$1 in module test.
Removed a total of 0 dead cases.

3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 0 assignments to connections.

3.4. Executing PROC_INIT pass (extract init attributes).

3.5. Executing PROC_ARST pass (detect async resets in processes).
Found async reset \R in `\test.$proc$proc_01.v:2$1'.

3.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

3.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\test.$proc$proc_01.v:2$1'.
     1/1: $0\Q[0:0]

3.8. Executing PROC_DLATCH pass (convert process syncs to latches).

3.9. Executing PROC_DFF pass (convert process syncs to FFs).
Creating register for signal `\test.\Q' using process `\test.$proc$proc_01.v:2$1'.
  created $adff cell `$procdff$2' with positive edge clock and positive level reset.

3.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `test.$proc$proc_01.v:2$1'.
Cleaned up 0 empty switches.

3.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.
Removed 0 unused cells and 1 unused wires.

4. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `proc_01.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'proc_01.dot' > 'proc_01.pdf.new' && mv 'proc_01.pdf.new' 'proc_01.pdf'

End of script. Logfile hash: c6fd6e6895, CPU: user 0.00s system 0.00s, MEM: 9.76 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 98% 1x show (0 sec), 0% 1x clean (0 sec), ...
../../yosys -p 'script proc_02.ys; show -notitle -prefix proc_02 -format pdf'

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `script proc_02.ys; show -notitle -prefix proc_02 -format pdf' --

-- Executing script file `proc_02.ys' --

1. Executing Verilog-2005 frontend: proc_02.v
Parsing Verilog input from `proc_02.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.

3. Executing PROC pass (convert processes to netlists).

3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Marked 1 switch rules as full_case in process $proc$proc_02.v:3$1 in module test.
Removed a total of 0 dead cases.

3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 0 assignments to connections.

3.4. Executing PROC_INIT pass (extract init attributes).

3.5. Executing PROC_ARST pass (detect async resets in processes).
Found async reset \R in `\test.$proc$proc_02.v:3$1'.

3.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

3.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\test.$proc$proc_02.v:3$1'.
     1/1: $0\Q[0:0]

3.8. Executing PROC_DLATCH pass (convert process syncs to latches).

3.9. Executing PROC_DFF pass (convert process syncs to FFs).
Creating register for signal `\test.\Q' using process `\test.$proc$proc_02.v:3$1'.
Warning: Async reset value `\RV' is not constant!
  created $aldff cell `$procdff$2' with positive edge clock and positive level non-const reset.

3.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `test.$proc$proc_02.v:3$1'.
Cleaned up 0 empty switches.

3.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.
Removed 0 unused cells and 1 unused wires.

4. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `proc_02.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'proc_02.dot' > 'proc_02.pdf.new' && mv 'proc_02.pdf.new' 'proc_02.pdf'

Warnings: 1 unique messages, 1 total
End of script. Logfile hash: 6c9c8edaef, CPU: user 0.01s system 0.00s, MEM: 9.74 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 98% 1x show (0 sec), 0% 1x clean (0 sec), ...
../../yosys -p 'script proc_03.ys; show -notitle -prefix proc_03 -format pdf'

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `script proc_03.ys; show -notitle -prefix proc_03 -format pdf' --

-- Executing script file `proc_03.ys' --

1. Executing Verilog-2005 frontend: proc_03.v
Parsing Verilog input from `proc_03.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.

3. Executing PROC pass (convert processes to netlists).

3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 0 assignments to connections.

3.4. Executing PROC_INIT pass (extract init attributes).

3.5. Executing PROC_ARST pass (detect async resets in processes).

3.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.
<suppressed ~2 debug messages>

3.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\test.$proc$proc_03.v:3$1'.
     1/1: $0\Y[0:0]

3.8. Executing PROC_DLATCH pass (convert process syncs to latches).
No latch inferred for signal `\test.\Y' from process `\test.$proc$proc_03.v:3$1'.

3.9. Executing PROC_DFF pass (convert process syncs to FFs).

3.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 2 empty switches in `\test.$proc$proc_03.v:3$1'.
Removing empty process `test.$proc$proc_03.v:3$1'.
Cleaned up 2 empty switches.

3.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.
Removed 0 unused cells and 4 unused wires.

4. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `proc_03.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'proc_03.dot' > 'proc_03.pdf.new' && mv 'proc_03.pdf.new' 'proc_03.pdf'

End of script. Logfile hash: 13c48860df, CPU: user 0.00s system 0.00s, MEM: 9.80 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 98% 1x show (0 sec), 0% 2x read_verilog (0 sec), ...
../../yosys -p 'script opt_01.ys; show -notitle -prefix opt_01 -format pdf'

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `script opt_01.ys; show -notitle -prefix opt_01 -format pdf' --

-- Executing script file `opt_01.ys' --

1. Executing Verilog-2005 frontend: opt_01.v
Parsing Verilog input from `opt_01.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.

3. Executing OPT pass (performing simple optimizations).

3.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

3.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  Evaluating internal representation of mux trees.
  Analyzing evaluation results.
    dead port 2/2 on $mux $ternary$opt_01.v:2$1.
Removed 1 multiplexer ports.
<suppressed ~1 debug messages>

3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
  Optimizing cells in module \test.
Performed a total of 1 changes.

3.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

3.6. Executing OPT_DFF pass (perform DFF optimizations).

3.7. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..
Removed 0 unused cells and 2 unused wires.
<suppressed ~1 debug messages>

3.8. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

3.9. Rerunning OPT passes. (Maybe there is more to do..)

3.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  No muxes found in this module.
Removed 0 multiplexer ports.

3.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
Performed a total of 0 changes.

3.12. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

3.13. Executing OPT_DFF pass (perform DFF optimizations).

3.14. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..

3.15. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

3.16. Finished OPT passes. (There is nothing left to do.)

4. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `opt_01.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'opt_01.dot' > 'opt_01.pdf.new' && mv 'opt_01.pdf.new' 'opt_01.pdf'

End of script. Logfile hash: 9f52b2c276, CPU: user 0.00s system 0.00s, MEM: 9.31 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 96% 1x show (0 sec), 1% 3x opt_expr (0 sec), ...
../../yosys -p 'script opt_02.ys; show -notitle -prefix opt_02 -format pdf'

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `script opt_02.ys; show -notitle -prefix opt_02 -format pdf' --

-- Executing script file `opt_02.ys' --

1. Executing Verilog-2005 frontend: opt_02.v
Parsing Verilog input from `opt_02.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.

3. Executing OPT pass (performing simple optimizations).

3.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.
<suppressed ~2 debug messages>

3.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  No muxes found in this module.
Removed 0 multiplexer ports.

3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
Performed a total of 0 changes.

3.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

3.6. Executing OPT_DFF pass (perform DFF optimizations).

3.7. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..
Removed 0 unused cells and 2 unused wires.
<suppressed ~1 debug messages>

3.8. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

3.9. Rerunning OPT passes. (Maybe there is more to do..)

3.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  No muxes found in this module.
Removed 0 multiplexer ports.

3.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
Performed a total of 0 changes.

3.12. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

3.13. Executing OPT_DFF pass (perform DFF optimizations).

3.14. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..

3.15. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

3.16. Finished OPT passes. (There is nothing left to do.)

4. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `opt_02.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'opt_02.dot' > 'opt_02.pdf.new' && mv 'opt_02.pdf.new' 'opt_02.pdf'

End of script. Logfile hash: 5a4000bb43, CPU: user 0.01s system 0.00s, MEM: 9.11 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 97% 1x show (0 sec), 1% 3x opt_expr (0 sec), ...
../../yosys -p 'script opt_03.ys; show -notitle -prefix opt_03 -format pdf'

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `script opt_03.ys; show -notitle -prefix opt_03 -format pdf' --

-- Executing script file `opt_03.ys' --

1. Executing Verilog-2005 frontend: opt_03.v
Parsing Verilog input from `opt_03.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.

3. Executing OPT pass (performing simple optimizations).

3.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

3.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
<suppressed ~3 debug messages>
Removed a total of 1 cells.

3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  No muxes found in this module.
Removed 0 multiplexer ports.

3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
Performed a total of 0 changes.

3.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

3.6. Executing OPT_DFF pass (perform DFF optimizations).

3.7. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..
Removed 0 unused cells and 2 unused wires.
<suppressed ~1 debug messages>

3.8. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

3.9. Rerunning OPT passes. (Maybe there is more to do..)

3.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  No muxes found in this module.
Removed 0 multiplexer ports.

3.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
Performed a total of 0 changes.

3.12. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

3.13. Executing OPT_DFF pass (perform DFF optimizations).

3.14. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..

3.15. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

3.16. Finished OPT passes. (There is nothing left to do.)

4. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `opt_03.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'opt_03.dot' > 'opt_03.pdf.new' && mv 'opt_03.pdf.new' 'opt_03.pdf'

End of script. Logfile hash: 0cd024bc02, CPU: user 0.01s system 0.00s, MEM: 9.27 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 96% 1x show (0 sec), 1% 3x opt_expr (0 sec), ...
../../yosys -p 'script opt_04.ys; show -notitle -prefix opt_04 -format pdf'

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `script opt_04.ys; show -notitle -prefix opt_04 -format pdf' --

-- Executing script file `opt_04.ys' --

1. Executing Verilog-2005 frontend: opt_04.v
Parsing Verilog input from `opt_04.v' to AST representation.
Generating RTLIL representation for module `\test'.
Warning: wire '\Q1' is assigned in a block at opt_04.v:8.3-8.11.
Warning: wire '\Q2' is assigned in a block at opt_04.v:12.3-12.11.
Warning: wire '\Q2' is assigned in a block at opt_04.v:14.3-14.11.
Warning: wire '\Q3' is assigned in a block at opt_04.v:17.2-17.10.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.

3. Executing PROC pass (convert processes to netlists).

3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Marked 1 switch rules as full_case in process $proc$opt_04.v:10$2 in module test.
Removed a total of 0 dead cases.

3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

3.4. Executing PROC_INIT pass (extract init attributes).

3.5. Executing PROC_ARST pass (detect async resets in processes).
Found async reset \ARST in `\test.$proc$opt_04.v:10$2'.
Found async reset \ARST in `\test.$proc$opt_04.v:6$1'.

3.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

3.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\test.$proc$opt_04.v:16$3'.
Creating decoders for process `\test.$proc$opt_04.v:10$2'.
     1/1: $0\Q2[7:0]
Creating decoders for process `\test.$proc$opt_04.v:6$1'.
     1/1: $0\Q1[7:0]

3.8. Executing PROC_DLATCH pass (convert process syncs to latches).

3.9. Executing PROC_DFF pass (convert process syncs to FFs).
Creating register for signal `\test.\Q3' using process `\test.$proc$opt_04.v:16$3'.
  created $dff cell `$procdff$4' with positive edge clock.
Creating register for signal `\test.\Q2' using process `\test.$proc$opt_04.v:10$2'.
  created $adff cell `$procdff$5' with positive edge clock and positive level reset.
Creating register for signal `\test.\Q1' using process `\test.$proc$opt_04.v:6$1'.
  created $adff cell `$procdff$6' with positive edge clock and positive level reset.

3.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `test.$proc$opt_04.v:16$3'.
Removing empty process `test.$proc$opt_04.v:10$2'.
Removing empty process `test.$proc$opt_04.v:6$1'.
Cleaned up 0 empty switches.

3.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

4. Executing OPT pass (performing simple optimizations).

4.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

4.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  No muxes found in this module.
Removed 0 multiplexer ports.

4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
Performed a total of 0 changes.

4.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

4.6. Executing OPT_DFF pass (perform DFF optimizations).
Handling const CLK on $procdff$5 ($adff) from module test (removing D path).
Handling D = Q on $procdff$6 ($adff) from module test (removing D path).
Setting constant 0-bit at position 0 on $procdff$6 ($dlatch) from module test.
Setting constant 1-bit at position 1 on $procdff$6 ($dlatch) from module test.
Setting constant 0-bit at position 2 on $procdff$6 ($dlatch) from module test.
Setting constant 1-bit at position 3 on $procdff$6 ($dlatch) from module test.
Setting constant 0-bit at position 4 on $procdff$6 ($dlatch) from module test.
Setting constant 1-bit at position 5 on $procdff$6 ($dlatch) from module test.
Setting constant 0-bit at position 6 on $procdff$6 ($dlatch) from module test.
Setting constant 0-bit at position 7 on $procdff$6 ($dlatch) from module test.
Setting constant 0-bit at position 0 on $procdff$5 ($dlatch) from module test.
Setting constant 1-bit at position 1 on $procdff$5 ($dlatch) from module test.
Setting constant 0-bit at position 2 on $procdff$5 ($dlatch) from module test.
Setting constant 1-bit at position 3 on $procdff$5 ($dlatch) from module test.
Setting constant 0-bit at position 4 on $procdff$5 ($dlatch) from module test.
Setting constant 1-bit at position 5 on $procdff$5 ($dlatch) from module test.
Setting constant 0-bit at position 6 on $procdff$5 ($dlatch) from module test.
Setting constant 0-bit at position 7 on $procdff$5 ($dlatch) from module test.
Setting constant 0-bit at position 0 on $procdff$4 ($dff) from module test.
Setting constant 1-bit at position 1 on $procdff$4 ($dff) from module test.
Setting constant 0-bit at position 2 on $procdff$4 ($dff) from module test.
Setting constant 1-bit at position 3 on $procdff$4 ($dff) from module test.
Setting constant 0-bit at position 4 on $procdff$4 ($dff) from module test.
Setting constant 1-bit at position 5 on $procdff$4 ($dff) from module test.
Setting constant 0-bit at position 6 on $procdff$4 ($dff) from module test.
Setting constant 0-bit at position 7 on $procdff$4 ($dff) from module test.

4.7. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..
Removed 0 unused cells and 3 unused wires.
<suppressed ~1 debug messages>

4.8. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

4.9. Rerunning OPT passes. (Maybe there is more to do..)

4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  No muxes found in this module.
Removed 0 multiplexer ports.

4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
Performed a total of 0 changes.

4.12. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

4.13. Executing OPT_DFF pass (perform DFF optimizations).

4.14. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..

4.15. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

4.16. Finished OPT passes. (There is nothing left to do.)

5. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `opt_04.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'opt_04.dot' > 'opt_04.pdf.new' && mv 'opt_04.pdf.new' 'opt_04.pdf'

Warnings: 4 unique messages, 4 total
End of script. Logfile hash: 350e16de2a, CPU: user 0.01s system 0.00s, MEM: 9.92 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 96% 1x show (0 sec), 1% 4x opt_expr (0 sec), ...
../../yosys -p 'script memory_01.ys; show -notitle -prefix memory_01 -format pdf'

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `script memory_01.ys; show -notitle -prefix memory_01 -format pdf' --

-- Executing script file `memory_01.ys' --

1. Executing Verilog-2005 frontend: memory_01.v
Parsing Verilog input from `memory_01.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.

3. Executing PROC pass (convert processes to netlists).

3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 4 assignments to connections.

3.4. Executing PROC_INIT pass (extract init attributes).

3.5. Executing PROC_ARST pass (detect async resets in processes).

3.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

3.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\test.$proc$memory_01.v:5$2'.

3.8. Executing PROC_DLATCH pass (convert process syncs to latches).

3.9. Executing PROC_DFF pass (convert process syncs to FFs).
Creating register for signal `\test.\DOUT' using process `\test.$proc$memory_01.v:5$2'.
  created $dff cell `$procdff$7' with positive edge clock.
Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_ADDR' using process `\test.$proc$memory_01.v:5$2'.
  created $dff cell `$procdff$8' with positive edge clock.
Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_DATA' using process `\test.$proc$memory_01.v:5$2'.
  created $dff cell `$procdff$9' with positive edge clock.
Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_EN' using process `\test.$proc$memory_01.v:5$2'.
  created $dff cell `$procdff$10' with positive edge clock.

3.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `test.$proc$memory_01.v:5$2'.
Cleaned up 0 empty switches.

3.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.
Removed 3 unused cells and 7 unused wires.

4. Executing MEMORY pass.

4.1. Executing OPT_MEM pass (optimize memories).
Performed a total of 0 transformations.

4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations).
Performed a total of 0 transformations.

4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths).
  Analyzing test.mem write port 0.

4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs).

4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd).
Checking read port `\mem'[0] in module `\test': merging output FF to cell.
    Write port 0: non-transparent.

4.6. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..
Removed 1 unused cells and 9 unused wires.
<suppressed ~2 debug messages>

4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells).

4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide).
Performed a total of 0 transformations.

4.9. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..

4.10. Executing MEMORY_COLLECT pass (generating $mem cells).

4.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops).
Mapping memory \mem in module \test:
  created 2 $dff cells and 0 static cells of width 8.
Extracted data FF from read port 0 of test.mem: $\mem$rdreg[0]
  read interface: 1 $dff and 1 $mux cells.
  write interface: 2 write mux blocks.

5. Executing OPT pass (performing simple optimizations).

5.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.
<suppressed ~3 debug messages>

5.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  Evaluating internal representation of mux trees.
  Analyzing evaluation results.
Removed 0 multiplexer ports.
<suppressed ~3 debug messages>

5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
Performed a total of 0 changes.

5.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

5.6. Executing OPT_DFF pass (perform DFF optimizations).

5.7. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..
Removed 1 unused cells and 6 unused wires.
<suppressed ~2 debug messages>

5.8. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

5.9. Rerunning OPT passes. (Maybe there is more to do..)

5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  Evaluating internal representation of mux trees.
  Analyzing evaluation results.
Removed 0 multiplexer ports.
<suppressed ~3 debug messages>

5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
Performed a total of 0 changes.

5.12. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

5.13. Executing OPT_DFF pass (perform DFF optimizations).
Adding EN signal on $memory\mem[1]$23 ($dff) from module test (D = \DIN, Q = \mem[1]).
Adding EN signal on $memory\mem[0]$21 ($dff) from module test (D = \DIN, Q = \mem[0]).

5.14. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..
Removed 2 unused cells and 2 unused wires.
<suppressed ~3 debug messages>

5.15. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

5.16. Rerunning OPT passes. (Maybe there is more to do..)

5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  Evaluating internal representation of mux trees.
  Analyzing evaluation results.
Removed 0 multiplexer ports.
<suppressed ~1 debug messages>

5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
Performed a total of 0 changes.

5.19. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

5.20. Executing OPT_DFF pass (perform DFF optimizations).

5.21. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..

5.22. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

5.23. Finished OPT passes. (There is nothing left to do.)

6. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `memory_01.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'memory_01.dot' > 'memory_01.pdf.new' && mv 'memory_01.pdf.new' 'memory_01.pdf'

End of script. Logfile hash: d4215140a5, CPU: user 0.01s system 0.00s, MEM: 9.73 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 93% 1x show (0 sec), 1% 5x opt_expr (0 sec), ...
../../yosys -p 'script memory_02.ys; show -notitle -prefix memory_02 -format pdf'

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `script memory_02.ys; show -notitle -prefix memory_02 -format pdf' --

-- Executing script file `memory_02.ys' --

1. Executing Verilog-2005 frontend: memory_02.v
Parsing Verilog input from `memory_02.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.

3. Executing PROC pass (convert processes to netlists).

3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Marked 1 switch rules as full_case in process $proc$memory_02.v:17$10 in module test.
Marked 1 switch rules as full_case in process $proc$memory_02.v:13$3 in module test.
Removed a total of 0 dead cases.

3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 8 assignments to connections.

3.4. Executing PROC_INIT pass (extract init attributes).

3.5. Executing PROC_ARST pass (detect async resets in processes).

3.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.
<suppressed ~2 debug messages>

3.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\test.$proc$memory_02.v:24$19'.
Creating decoders for process `\test.$proc$memory_02.v:21$17'.
Creating decoders for process `\test.$proc$memory_02.v:17$10'.
     1/3: $1$memwr$\memory$memory_02.v:19$2_EN[7:0]$16
     2/3: $1$memwr$\memory$memory_02.v:19$2_DATA[7:0]$15
     3/3: $1$memwr$\memory$memory_02.v:19$2_ADDR[7:0]$14
Creating decoders for process `\test.$proc$memory_02.v:13$3'.
     1/3: $1$memwr$\memory$memory_02.v:15$1_EN[7:0]$9
     2/3: $1$memwr$\memory$memory_02.v:15$1_DATA[7:0]$8
     3/3: $1$memwr$\memory$memory_02.v:15$1_ADDR[7:0]$7

3.8. Executing PROC_DLATCH pass (convert process syncs to latches).

3.9. Executing PROC_DFF pass (convert process syncs to FFs).
Creating register for signal `\test.\RD2_DATA' using process `\test.$proc$memory_02.v:24$19'.
  created $dff cell `$procdff$39' with positive edge clock.
Creating register for signal `\test.\RD1_DATA' using process `\test.$proc$memory_02.v:21$17'.
  created $dff cell `$procdff$40' with positive edge clock.
Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_ADDR' using process `\test.$proc$memory_02.v:17$10'.
  created $dff cell `$procdff$41' with positive edge clock.
Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_DATA' using process `\test.$proc$memory_02.v:17$10'.
  created $dff cell `$procdff$42' with positive edge clock.
Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_EN' using process `\test.$proc$memory_02.v:17$10'.
  created $dff cell `$procdff$43' with positive edge clock.
Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_ADDR' using process `\test.$proc$memory_02.v:13$3'.
  created $dff cell `$procdff$44' with positive edge clock.
Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_DATA' using process `\test.$proc$memory_02.v:13$3'.
  created $dff cell `$procdff$45' with positive edge clock.
Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_EN' using process `\test.$proc$memory_02.v:13$3'.
  created $dff cell `$procdff$46' with positive edge clock.

3.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `test.$proc$memory_02.v:24$19'.
Removing empty process `test.$proc$memory_02.v:21$17'.
Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:17$10'.
Removing empty process `test.$proc$memory_02.v:17$10'.
Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:13$3'.
Removing empty process `test.$proc$memory_02.v:13$3'.
Cleaned up 2 empty switches.

3.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.
Removed 6 unused cells and 26 unused wires.

4. Executing MEMORY pass.

4.1. Executing OPT_MEM pass (optimize memories).
Performed a total of 0 transformations.

4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations).
Performed a total of 0 transformations.

4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths).
  Analyzing test.memory write port 0.
  Analyzing test.memory write port 1.

4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs).

4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd).
Checking read port `\memory'[0] in module `\test': merging output FF to cell.
Checking read port `\memory'[1] in module `\test': merging output FF to cell.

4.6. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..
Removed 2 unused cells and 18 unused wires.
<suppressed ~3 debug messages>

4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells).
Consolidating read ports of memory test.memory by address:
Consolidating write ports of memory test.memory by address:
Consolidating write ports of memory test.memory using sat-based resource sharing:

4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide).
Performed a total of 0 transformations.

4.9. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..

4.10. Executing MEMORY_COLLECT pass (generating $mem cells).

5. Executing OPT pass (performing simple optimizations).

5.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.
<suppressed ~4 debug messages>

5.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  Evaluating internal representation of mux trees.
  Analyzing evaluation results.
Removed 0 multiplexer ports.
<suppressed ~2 debug messages>

5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
    Consolidated identical input bits for $mux cell $procmux$31:
      Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6
      New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0]
      New connections: $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [7:1] = { $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] }
    Consolidated identical input bits for $mux cell $procmux$22:
      Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13
      New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0]
      New connections: $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [7:1] = { $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] }
  Optimizing cells in module \test.
Performed a total of 2 changes.

5.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

5.6. Executing OPT_DFF pass (perform DFF optimizations).

5.7. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..
Removed 0 unused cells and 4 unused wires.
<suppressed ~1 debug messages>

5.8. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.
<suppressed ~2 debug messages>

5.9. Rerunning OPT passes. (Maybe there is more to do..)

5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  No muxes found in this module.
Removed 0 multiplexer ports.

5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
Performed a total of 0 changes.

5.12. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

5.13. Executing OPT_DFF pass (perform DFF optimizations).

5.14. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..
Removed 0 unused cells and 2 unused wires.
<suppressed ~1 debug messages>

5.15. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

5.16. Rerunning OPT passes. (Maybe there is more to do..)

5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  No muxes found in this module.
Removed 0 multiplexer ports.

5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
Performed a total of 0 changes.

5.19. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

5.20. Executing OPT_DFF pass (perform DFF optimizations).

5.21. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..

5.22. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

5.23. Finished OPT passes. (There is nothing left to do.)

6. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `memory_02.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'memory_02.dot' > 'memory_02.pdf.new' && mv 'memory_02.pdf.new' 'memory_02.pdf'

End of script. Logfile hash: 766b5cd017, CPU: user 0.01s system 0.01s, MEM: 10.05 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 91% 1x show (0 sec), 1% 5x opt_expr (0 sec), ...
../../yosys -p 'script techmap_01.ys; show -notitle -prefix techmap_01 -format pdf'

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `script techmap_01.ys; show -notitle -prefix techmap_01 -format pdf' --

-- Executing script file `techmap_01.ys' --

1. Executing Verilog-2005 frontend: techmap_01.v
Parsing Verilog input from `techmap_01.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.

3. Executing TECHMAP pass (map to technology primitives).

3.1. Executing Verilog-2005 frontend: techmap_01_map.v
Parsing Verilog input from `techmap_01_map.v' to AST representation.
Generating RTLIL representation for module `\$add'.
Successfully finished Verilog frontend.

3.2. Continuing TECHMAP pass.
Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\$add for cells of type $add.
No more expansions possible.
<suppressed ~75 debug messages>
Removed 0 unused cells and 7 unused wires.

4. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `techmap_01.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'techmap_01.dot' > 'techmap_01.pdf.new' && mv 'techmap_01.pdf.new' 'techmap_01.pdf'

End of script. Logfile hash: b9ee13b07b, CPU: user 0.01s system 0.00s, MEM: 9.59 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 98% 1x show (0 sec), 0% 1x techmap (0 sec), ...
../../yosys -p 'script abc_01.ys; show -notitle -prefix abc_01 -format pdf'

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `script abc_01.ys; show -notitle -prefix abc_01 -format pdf' --

-- Executing script file `abc_01.ys' --

1. Executing Verilog-2005 frontend: abc_01.v
Parsing Verilog input from `abc_01.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing Verilog-2005 frontend: abc_01_cells.v
Parsing Verilog input from `abc_01_cells.v' to AST representation.
Generating RTLIL representation for module `\BUF'.
Generating RTLIL representation for module `\NOT'.
Generating RTLIL representation for module `\NAND'.
Generating RTLIL representation for module `\NOR'.
Generating RTLIL representation for module `\DFF'.
Generating RTLIL representation for module `\DFFSR'.
Successfully finished Verilog frontend.

3. Executing HIERARCHY pass (managing design hierarchy).

3.1. Analyzing design hierarchy..
Top module:  \test

3.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.

4. Executing PROC pass (convert processes to netlists).

4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 3 assignments to connections.

4.4. Executing PROC_INIT pass (extract init attributes).

4.5. Executing PROC_ARST pass (detect async resets in processes).

4.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

4.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\test.$proc$abc_01.v:5$1'.

4.8. Executing PROC_DLATCH pass (convert process syncs to latches).

4.9. Executing PROC_DFF pass (convert process syncs to FFs).
Creating register for signal `\test.\y' using process `\test.$proc$abc_01.v:5$1'.
  created $dff cell `$procdff$3' with positive edge clock.
Creating register for signal `\test.\q1' using process `\test.$proc$abc_01.v:5$1'.
  created $dff cell `$procdff$4' with positive edge clock.
Creating register for signal `\test.\q2' using process `\test.$proc$abc_01.v:5$1'.
  created $dff cell `$procdff$5' with positive edge clock.

4.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `test.$proc$abc_01.v:5$1'.
Cleaned up 0 empty switches.

4.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

5. Executing OPT pass (performing simple optimizations).

5.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

5.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  No muxes found in this module.
Removed 0 multiplexer ports.

5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
Performed a total of 0 changes.

5.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

5.6. Executing OPT_DFF pass (perform DFF optimizations).

5.7. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..
Removed 0 unused cells and 3 unused wires.
<suppressed ~1 debug messages>

5.8. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

5.9. Rerunning OPT passes. (Maybe there is more to do..)

5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  No muxes found in this module.
Removed 0 multiplexer ports.

5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
Performed a total of 0 changes.

5.12. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

5.13. Executing OPT_DFF pass (perform DFF optimizations).

5.14. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..

5.15. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

5.16. Finished OPT passes. (There is nothing left to do.)

6. Executing TECHMAP pass (map to technology primitives).

6.1. Executing Verilog-2005 frontend: /<<PKGBUILDDIR>>/share/techmap.v
Parsing Verilog input from `/<<PKGBUILDDIR>>/share/techmap.v' to AST representation.
Generating RTLIL representation for module `\_90_simplemap_bool_ops'.
Generating RTLIL representation for module `\_90_simplemap_reduce_ops'.
Generating RTLIL representation for module `\_90_simplemap_logic_ops'.
Generating RTLIL representation for module `\_90_simplemap_compare_ops'.
Generating RTLIL representation for module `\_90_simplemap_various'.
Generating RTLIL representation for module `\_90_simplemap_registers'.
Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'.
Generating RTLIL representation for module `\_90_shift_shiftx'.
Generating RTLIL representation for module `\_90_fa'.
Generating RTLIL representation for module `\_90_lcu'.
Generating RTLIL representation for module `\_90_alu'.
Generating RTLIL representation for module `\_90_macc'.
Generating RTLIL representation for module `\_90_alumacc'.
Generating RTLIL representation for module `\$__div_mod_u'.
Generating RTLIL representation for module `\$__div_mod_trunc'.
Generating RTLIL representation for module `\_90_div'.
Generating RTLIL representation for module `\_90_mod'.
Generating RTLIL representation for module `\$__div_mod_floor'.
Generating RTLIL representation for module `\_90_divfloor'.
Generating RTLIL representation for module `\_90_modfloor'.
Generating RTLIL representation for module `\_90_pow'.
Generating RTLIL representation for module `\_90_pmux'.
Generating RTLIL representation for module `\_90_demux'.
Generating RTLIL representation for module `\_90_lut'.
Successfully finished Verilog frontend.

6.2. Continuing TECHMAP pass.
Using extmapper simplemap for cells of type $reduce_xor.
Using extmapper simplemap for cells of type $dff.
No more expansions possible.
<suppressed ~77 debug messages>

7. Executing ABC pass (technology mapping using ABC).

7.1. Summary of detected clock domains:
  9 cells in clk=\clk, en={ }, arst={ }, srst={ }

7.2. Extracting gate netlist of module `\test' to `<abc-temp-dir>/input.blif'..
Found matching posedge clock domain: \clk
Extracted 9 gates and 12 wires to a netlist network with 3 inputs and 1 outputs.

7.2.1. Executing ABC.
Running ABC command: "<yosys-exe-dir>/yosys-abc" -s -f <abc-temp-dir>/abc.script 2>&1
ABC: ABC command line: "source <abc-temp-dir>/abc.script".
ABC: 
ABC: + read_blif <abc-temp-dir>/input.blif 
ABC: + read_lib -w <yosys-exe-dir>/manual/PRESENTATION_ExSyn/abc_01_cells.lib 
ABC: Parsing finished successfully.  Parsing time =     0.00 sec
ABC: Warning: Templates are not defined.
ABC: Libery parser cannot read "time_unit".  Assuming   time_unit : "1ns".
ABC: Libery parser cannot read "capacitive_load_unit". Assuming   capacitive_load_unit(1, pf).
ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF".
ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFFSR".
ABC: Library "demo" from "<yosys-exe-dir>/manual/PRESENTATION_ExSyn/abc_01_cells.lib" has 4 cells (2 skipped: 2 seq; 0 tri-state; 0 no func; 0 dont_use).  Time =     0.00 sec
ABC: Memory =    0.01 MB. Time =     0.00 sec
ABC: + strash 
ABC: + &get -n 
ABC: Warning: 7 registers in this network have don't-care init values.
ABC: The don't-care are assumed to be 0. The result may not verify.
ABC: Use command "print_latch" to see the init values of registers.
ABC: Use command "zero" to convert or "init" to change the values.
ABC: + &fraig -x 
ABC: + &put 
ABC: + scorr 
ABC: + dc2 
ABC: + dretime 
ABC: + strash 
ABC: + &get -n 
ABC: + &dch -f 
ABC: + &nf 
ABC: + &put 
ABC: + write_blif <abc-temp-dir>/output.blif 

7.2.2. Re-integrating ABC results.
ABC RESULTS:             _dff_ cells:        3
ABC RESULTS:               NOT cells:        3
ABC RESULTS:               NOR cells:        2
ABC RESULTS:              NAND cells:        5
ABC RESULTS:               BUF cells:        3
ABC RESULTS:        internal signals:        8
ABC RESULTS:           input signals:        3
ABC RESULTS:          output signals:        1
Removing temp directory.
Removed 0 unused cells and 9 unused wires.

8. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `abc_01.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'abc_01.dot' > 'abc_01.pdf.new' && mv 'abc_01.pdf.new' 'abc_01.pdf'

End of script. Logfile hash: 58ce967461, CPU: user 0.01s system 0.01s, MEM: 12.17 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 80% 1x show (0 sec), 12% 1x abc (0 sec), ...
make[2]: Leaving directory '/<<PKGBUILDDIR>>/manual/PRESENTATION_ExSyn'
+ make -C PRESENTATION_ExAdv
make[2]: Entering directory '/<<PKGBUILDDIR>>/manual/PRESENTATION_ExAdv'
../../yosys select.ys

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Executing script file `select.ys' --

1. Executing Verilog-2005 frontend: select.v
Parsing Verilog input from `select.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.

3. Executing PROC pass (convert processes to netlists).

3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 2 assignments to connections.

3.4. Executing PROC_INIT pass (extract init attributes).

3.5. Executing PROC_ARST pass (detect async resets in processes).

3.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

3.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\test.$proc$select.v:7$1'.

3.8. Executing PROC_DLATCH pass (convert process syncs to latches).

3.9. Executing PROC_DFF pass (convert process syncs to FFs).
Creating register for signal `\test.\b' using process `\test.$proc$select.v:7$1'.
  created $dff cell `$procdff$8' with positive edge clock.
Creating register for signal `\test.\c' using process `\test.$proc$select.v:7$1'.
  created $dff cell `$procdff$9' with positive edge clock.

3.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `test.$proc$select.v:7$1'.
Cleaned up 0 empty switches.

3.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.
<suppressed ~1 debug messages>

4. Executing OPT pass (performing simple optimizations).

4.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

4.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
<suppressed ~3 debug messages>
Removed a total of 1 cells.

4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  Evaluating internal representation of mux trees.
  Analyzing evaluation results.
Removed 0 multiplexer ports.
<suppressed ~1 debug messages>

4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
Performed a total of 0 changes.

4.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

4.6. Executing OPT_DFF pass (perform DFF optimizations).

4.7. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..
Removed 1 unused cells and 7 unused wires.
<suppressed ~2 debug messages>

4.8. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

4.9. Rerunning OPT passes. (Maybe there is more to do..)

4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \test..
  Creating internal representation of mux trees.
  Evaluating internal representation of mux trees.
  Analyzing evaluation results.
Removed 0 multiplexer ports.
<suppressed ~1 debug messages>

4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \test.
Performed a total of 0 changes.

4.12. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\test'.
Removed a total of 0 cells.

4.13. Executing OPT_DFF pass (perform DFF optimizations).

4.14. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \test..

4.15. Executing OPT_EXPR pass (perform const folding).
Optimizing module test.

4.16. Finished OPT passes. (There is nothing left to do.)

5. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `select.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'select.dot' > 'select.pdf.new' && mv 'select.pdf.new' 'select.pdf'

End of script. Logfile hash: 75f2ae3a3a, CPU: user 0.01s system 0.00s, MEM: 9.50 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 95% 1x show (0 sec), 1% 4x opt_expr (0 sec), ...
../../yosys red_or3x1_test.ys

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Executing script file `red_or3x1_test.ys' --

1. Executing Verilog-2005 frontend: red_or3x1_test.v
Parsing Verilog input from `red_or3x1_test.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.

3. Executing TECHMAP pass (map to technology primitives).

3.1. Executing Verilog-2005 frontend: red_or3x1_map.v
Parsing Verilog input from `red_or3x1_map.v' to AST representation.
Generating RTLIL representation for module `\$reduce_or'.
Successfully finished Verilog frontend.

3.2. Continuing TECHMAP pass.
Using template $paramod$53153ae57fdb25b25475408a64760d7986ecfb0e\$reduce_or for cells of type $reduce_or.
Using template $paramod$fe2472242c070f3b22e97f6c8c19c3569e575d97\$reduce_or for cells of type $reduce_or.
Using template $paramod$1a3ccc6b2ad940e0a4ee2fb765a3adeb638fb7f0\$reduce_or for cells of type $reduce_or.
No more expansions possible.
<suppressed ~53 debug messages>
Removed 0 unused cells and 18 unused wires.

4. Executing SPLITNETS pass (splitting up multi-bit signals).

5. Generating Graphviz representation of design.

5.1. Executing Verilog-2005 frontend: red_or3x1_cells.v
Parsing Verilog input from `red_or3x1_cells.v' to AST representation.
Generating RTLIL representation for module `\OR3X1'.
Successfully finished Verilog frontend.

5.2. Continuing show pass.
Fontconfig error: No writable cache directories
Writing dot description to `red_or3x1.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'red_or3x1.dot' > 'red_or3x1.pdf.new' && mv 'red_or3x1.pdf.new' 'red_or3x1.pdf'

End of script. Logfile hash: 129023a082, CPU: user 0.01s system 0.00s, MEM: 9.72 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 97% 1x show (0 sec), 1% 1x techmap (0 sec), ...
../../yosys sym_mul_test.ys

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Executing script file `sym_mul_test.ys' --

1. Executing Verilog-2005 frontend: sym_mul_test.v
Parsing Verilog input from `sym_mul_test.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.

3. Executing TECHMAP pass (map to technology primitives).

3.1. Executing Verilog-2005 frontend: sym_mul_map.v
Parsing Verilog input from `sym_mul_map.v' to AST representation.
Generating RTLIL representation for module `\$mul'.
Successfully finished Verilog frontend.

3.2. Continuing TECHMAP pass.
Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul.
No more expansions possible.
<suppressed ~43 debug messages>
Removed 0 unused cells and 6 unused wires.

4. Generating Graphviz representation of design.

4.1. Executing Verilog-2005 frontend: sym_mul_cells.v
Parsing Verilog input from `sym_mul_cells.v' to AST representation.
Generating RTLIL representation for module `\MYMUL'.
Successfully finished Verilog frontend.

4.2. Continuing show pass.
Fontconfig error: No writable cache directories
Writing dot description to `sym_mul.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'sym_mul.dot' > 'sym_mul.pdf.new' && mv 'sym_mul.pdf.new' 'sym_mul.pdf'

End of script. Logfile hash: 772afb568b, CPU: user 0.00s system 0.01s, MEM: 9.54 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 97% 1x show (0 sec), 0% 1x techmap (0 sec), ...
../../yosys mymul_test.ys

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Executing script file `mymul_test.ys' --

1. Executing Verilog-2005 frontend: mymul_test.v
Parsing Verilog input from `mymul_test.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.

3. Executing TECHMAP pass (map to technology primitives).

3.1. Executing Verilog-2005 frontend: sym_mul_map.v
Parsing Verilog input from `sym_mul_map.v' to AST representation.
Generating RTLIL representation for module `\$mul'.
Successfully finished Verilog frontend.

3.2. Executing Verilog-2005 frontend: mymul_map.v
Parsing Verilog input from `mymul_map.v' to AST representation.
Generating RTLIL representation for module `\MYMUL'.
Successfully finished Verilog frontend.

3.3. Continuing TECHMAP pass.
Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\$mul for cells of type $mul.
Using template $paramod\MYMUL\WIDTH=32'00000000000000000000000000000010 for cells of type MYMUL.
No more expansions possible.
<suppressed ~108 debug messages>
Removed 0 unused cells and 10 unused wires.
Renaming module \test to \test_mapped.

4. Executing Verilog-2005 frontend: mymul_test.v
Parsing Verilog input from `mymul_test.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

5. Executing MITER pass (creating miter circuit).
Creating miter cell "miter" with gold cell "test" and gate cell "test_mapped".

6. Executing FLATTEN pass (flatten design).
<suppressed ~2 debug messages>

7. Executing SAT pass (solving SAT problems in the circuit).

Setting up SAT problem:
Final constraint equation: { } = { }
Imported 7 cells to SAT database.
Import proof-constraint: \trigger = 1'0
Final proof equation: \trigger = 1'0

Solving problem with 107 variables and 283 clauses..
SAT proof finished - no model found: SUCCESS!

                  /$$$$$$      /$$$$$$$$     /$$$$$$$    
                 /$$__  $$    | $$_____/    | $$__  $$   
                | $$  \ $$    | $$          | $$  \ $$   
                | $$  | $$    | $$$$$       | $$  | $$   
                | $$  | $$    | $$__/       | $$  | $$   
                | $$/$$ $$    | $$          | $$  | $$   
                |  $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$
                 \____ $$$|__/|________/|__/|_______/|__/
                       \__/                              

8. Executing SPLITNETS pass (splitting up multi-bit signals).

9. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `mymul.dot'.
Dumping module test_mapped to page 1.
Exec: dot -Tpdf 'mymul.dot' > 'mymul.pdf.new' && mv 'mymul.pdf.new' 'mymul.pdf'

End of script. Logfile hash: b32a1d8e8e, CPU: user 0.01s system 0.01s, MEM: 10.85 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 95% 1x show (0 sec), 1% 2x clean (0 sec), ...
../../yosys mulshift_test.ys

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Executing script file `mulshift_test.ys' --

1. Executing Verilog-2005 frontend: mulshift_test.v
Parsing Verilog input from `mulshift_test.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.

3. Executing TECHMAP pass (map to technology primitives).

3.1. Executing Verilog-2005 frontend: sym_mul_map.v
Parsing Verilog input from `sym_mul_map.v' to AST representation.
Generating RTLIL representation for module `\$mul'.
Successfully finished Verilog frontend.

3.2. Executing Verilog-2005 frontend: mulshift_map.v
Parsing Verilog input from `mulshift_map.v' to AST representation.
Generating RTLIL representation for module `\MYMUL'.
Successfully finished Verilog frontend.

3.3. Continuing TECHMAP pass.
Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul.
Using template $paramod$fb3c811cfd9dc2fc74fe40190dfcd365f04584f7\MYMUL for cells of type MYMUL.
No more expansions possible.
<suppressed ~185 debug messages>
Removed 0 unused cells and 16 unused wires.

4. Generating Graphviz representation of design.

4.1. Executing Verilog-2005 frontend: sym_mul_cells.v
Parsing Verilog input from `sym_mul_cells.v' to AST representation.
Generating RTLIL representation for module `\MYMUL'.
Successfully finished Verilog frontend.

4.2. Continuing show pass.
Fontconfig error: No writable cache directories
Writing dot description to `mulshift.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'mulshift.dot' > 'mulshift.pdf.new' && mv 'mulshift.pdf.new' 'mulshift.pdf'

End of script. Logfile hash: e867b57f97, CPU: user 0.01s system 0.00s, MEM: 10.27 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 94% 1x show (0 sec), 1% 3x clean (0 sec), ...
../../yosys addshift_test.ys

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Executing script file `addshift_test.ys' --

1. Executing Verilog-2005 frontend: addshift_test.v
Parsing Verilog input from `addshift_test.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.

3. Executing TECHMAP pass (map to technology primitives).

3.1. Executing Verilog-2005 frontend: addshift_map.v
Parsing Verilog input from `addshift_map.v' to AST representation.
Generating RTLIL representation for module `\$add'.
Successfully finished Verilog frontend.

3.2. Continuing TECHMAP pass.
Using template $paramod$ba28896eb640c0d0dd7116971c6c5dc347170a6c\$add for cells of type $add.
No more expansions possible.
<suppressed ~51 debug messages>
Removed 0 unused cells and 7 unused wires.

4. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `addshift.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'addshift.dot' > 'addshift.pdf.new' && mv 'addshift.pdf.new' 'addshift.pdf'

End of script. Logfile hash: d1e94967df, CPU: user 0.01s system 0.00s, MEM: 9.56 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 97% 1x show (0 sec), 0% 1x clean (0 sec), ...
../../yosys macc_simple_test.ys

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Executing script file `macc_simple_test.ys' --

1. Executing Verilog-2005 frontend: macc_simple_test.v
Parsing Verilog input from `macc_simple_test.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.
Removed 0 unused cells and 1 unused wires.

3. Generating Graphviz representation of design.

3.1. Executing Verilog-2005 frontend: macc_simple_xmap.v
Parsing Verilog input from `macc_simple_xmap.v' to AST representation.
Generating RTLIL representation for module `\macc_16_16_32'.
Successfully finished Verilog frontend.

3.2. Continuing show pass.
Fontconfig error: No writable cache directories
Writing dot description to `macc_simple_test_00a.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'macc_simple_test_00a.dot' > 'macc_simple_test_00a.pdf.new' && mv 'macc_simple_test_00a.pdf.new' 'macc_simple_test_00a.pdf'

4. Executing EXTRACT pass (map subcircuits to cells).

4.1. Executing Verilog-2005 frontend: macc_simple_xmap.v
Parsing Verilog input from `macc_simple_xmap.v' to AST representation.
Generating RTLIL representation for module `\macc_16_16_32'.
Successfully finished Verilog frontend.

4.2. Executing PROC pass (convert processes to netlists).

4.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

4.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

4.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 0 assignments to connections.

4.2.4. Executing PROC_INIT pass (extract init attributes).

4.2.5. Executing PROC_ARST pass (detect async resets in processes).

4.2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

4.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).

4.2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

4.2.9. Executing PROC_DFF pass (convert process syncs to FFs).

4.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

4.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

4.2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module macc_16_16_32.

4.3. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \macc_16_16_32..
Removed 0 unused cells and 1 unused wires.
<suppressed ~1 debug messages>

4.4. Creating graphs for SubCircuit library.
Creating needle graph needle_macc_16_16_32.
Creating haystack graph haystack_test.

4.5. Running solver from SubCircuit library.
Solving for needle_macc_16_16_32 in haystack_test.
Found 1 matches.

4.6. Substitute SubCircuits with cells.

Match #0: (needle_macc_16_16_32 in haystack_test)
  $add$macc_simple_xmap.v:5$7 -> $add$macc_simple_test.v:5$2 \A:\A \B:\B \Y:\Y
  $const$0 -> $const$0 \Y:\Y
  $const$1 -> $const$1 \Y:\Y
  $const$x -> $const$x \Y:\Y
  $const$z -> $const$z \Y:\Y
  $mul$macc_simple_xmap.v:5$6 -> $mul$macc_simple_test.v:5$1 \A:\A \B:\B \Y:\Y
  new cell: $extract$\macc_16_16_32$8
Removed 0 unused cells and 1 unused wires.

5. Generating Graphviz representation of design.

5.1. Executing Verilog-2005 frontend: macc_simple_xmap.v
Parsing Verilog input from `macc_simple_xmap.v' to AST representation.
Generating RTLIL representation for module `\macc_16_16_32'.
Successfully finished Verilog frontend.

5.2. Continuing show pass.
Fontconfig error: No writable cache directories
Writing dot description to `macc_simple_test_00b.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'macc_simple_test_00b.dot' > 'macc_simple_test_00b.pdf.new' && mv 'macc_simple_test_00b.pdf.new' 'macc_simple_test_00b.pdf'

6. Executing Verilog-2005 frontend: macc_simple_test_01.v
Parsing Verilog input from `macc_simple_test_01.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

7. Executing HIERARCHY pass (managing design hierarchy).

7.1. Analyzing design hierarchy..
Top module:  \test

7.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.
Removed 0 unused cells and 1 unused wires.

8. Generating Graphviz representation of design.

8.1. Executing Verilog-2005 frontend: macc_simple_xmap.v
Parsing Verilog input from `macc_simple_xmap.v' to AST representation.
Generating RTLIL representation for module `\macc_16_16_32'.
Successfully finished Verilog frontend.

8.2. Continuing show pass.
Fontconfig error: No writable cache directories
Writing dot description to `macc_simple_test_01a.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'macc_simple_test_01a.dot' > 'macc_simple_test_01a.pdf.new' && mv 'macc_simple_test_01a.pdf.new' 'macc_simple_test_01a.pdf'

9. Executing EXTRACT pass (map subcircuits to cells).

9.1. Executing Verilog-2005 frontend: macc_simple_xmap.v
Parsing Verilog input from `macc_simple_xmap.v' to AST representation.
Generating RTLIL representation for module `\macc_16_16_32'.
Successfully finished Verilog frontend.

9.2. Executing PROC pass (convert processes to netlists).

9.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

9.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

9.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 0 assignments to connections.

9.2.4. Executing PROC_INIT pass (extract init attributes).

9.2.5. Executing PROC_ARST pass (detect async resets in processes).

9.2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

9.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).

9.2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

9.2.9. Executing PROC_DFF pass (convert process syncs to FFs).

9.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

9.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

9.2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module macc_16_16_32.

9.3. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \macc_16_16_32..
Removed 0 unused cells and 1 unused wires.
<suppressed ~1 debug messages>

9.4. Creating graphs for SubCircuit library.
Creating needle graph needle_macc_16_16_32.
Creating haystack graph haystack_test.

9.5. Running solver from SubCircuit library.
Solving for needle_macc_16_16_32 in haystack_test.
Found 1 matches.

9.6. Substitute SubCircuits with cells.

Match #0: (needle_macc_16_16_32 in haystack_test)
  $add$macc_simple_xmap.v:5$18 -> $add$macc_simple_test_01.v:5$13 \A:\A \B:\B \Y:\Y
  $mul$macc_simple_xmap.v:5$17 -> $mul$macc_simple_test_01.v:5$11 \A:\A \B:\B \Y:\Y
  new cell: $extract$\macc_16_16_32$19
Removed 0 unused cells and 1 unused wires.

10. Generating Graphviz representation of design.

10.1. Executing Verilog-2005 frontend: macc_simple_xmap.v
Parsing Verilog input from `macc_simple_xmap.v' to AST representation.
Generating RTLIL representation for module `\macc_16_16_32'.
Successfully finished Verilog frontend.

10.2. Continuing show pass.
Fontconfig error: No writable cache directories
Writing dot description to `macc_simple_test_01b.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'macc_simple_test_01b.dot' > 'macc_simple_test_01b.pdf.new' && mv 'macc_simple_test_01b.pdf.new' 'macc_simple_test_01b.pdf'

11. Executing Verilog-2005 frontend: macc_simple_test_02.v
Parsing Verilog input from `macc_simple_test_02.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

12. Executing HIERARCHY pass (managing design hierarchy).

12.1. Analyzing design hierarchy..
Top module:  \test

12.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.
Removed 0 unused cells and 1 unused wires.

13. Generating Graphviz representation of design.

13.1. Executing Verilog-2005 frontend: macc_simple_xmap.v
Parsing Verilog input from `macc_simple_xmap.v' to AST representation.
Generating RTLIL representation for module `\macc_16_16_32'.
Successfully finished Verilog frontend.

13.2. Continuing show pass.
Fontconfig error: No writable cache directories
Writing dot description to `macc_simple_test_02a.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'macc_simple_test_02a.dot' > 'macc_simple_test_02a.pdf.new' && mv 'macc_simple_test_02a.pdf.new' 'macc_simple_test_02a.pdf'

14. Executing EXTRACT pass (map subcircuits to cells).

14.1. Executing Verilog-2005 frontend: macc_simple_xmap.v
Parsing Verilog input from `macc_simple_xmap.v' to AST representation.
Generating RTLIL representation for module `\macc_16_16_32'.
Successfully finished Verilog frontend.

14.2. Executing PROC pass (convert processes to netlists).

14.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

14.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

14.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 0 assignments to connections.

14.2.4. Executing PROC_INIT pass (extract init attributes).

14.2.5. Executing PROC_ARST pass (detect async resets in processes).

14.2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

14.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).

14.2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

14.2.9. Executing PROC_DFF pass (convert process syncs to FFs).

14.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

14.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

14.2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module macc_16_16_32.

14.3. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \macc_16_16_32..
Removed 0 unused cells and 1 unused wires.
<suppressed ~1 debug messages>

14.4. Creating graphs for SubCircuit library.
Creating needle graph needle_macc_16_16_32.
Creating haystack graph haystack_test.

14.5. Running solver from SubCircuit library.
Solving for needle_macc_16_16_32 in haystack_test.
Found 2 matches.

14.6. Substitute SubCircuits with cells.

Match #0: (needle_macc_16_16_32 in haystack_test)
  $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$24 \A:\A \B:\B \Y:\Y
  $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$23 \A:\A \B:\B \Y:\Y
  new cell: $extract$\macc_16_16_32$30

Match #1: (needle_macc_16_16_32 in haystack_test)
  $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$25 \A:\A \B:\B \Y:\Y
  $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$22 \A:\A \B:\B \Y:\Y
  new cell: $extract$\macc_16_16_32$31
Removed 0 unused cells and 2 unused wires.

15. Generating Graphviz representation of design.

15.1. Executing Verilog-2005 frontend: macc_simple_xmap.v
Parsing Verilog input from `macc_simple_xmap.v' to AST representation.
Generating RTLIL representation for module `\macc_16_16_32'.
Successfully finished Verilog frontend.

15.2. Continuing show pass.
Fontconfig error: No writable cache directories
Writing dot description to `macc_simple_test_02b.dot'.
Dumping module test to page 1.
Exec: dot -Tpdf 'macc_simple_test_02b.dot' > 'macc_simple_test_02b.pdf.new' && mv 'macc_simple_test_02b.pdf.new' 'macc_simple_test_02b.pdf'

16. Executing Verilog-2005 frontend: macc_simple_xmap.v
Parsing Verilog input from `macc_simple_xmap.v' to AST representation.
Generating RTLIL representation for module `\macc_16_16_32'.
Successfully finished Verilog frontend.

17. Executing HIERARCHY pass (managing design hierarchy).

17.1. Analyzing design hierarchy..
Top module:  \macc_16_16_32

17.2. Analyzing design hierarchy..
Top module:  \macc_16_16_32
Removed 0 unused modules.
Removed 0 unused cells and 1 unused wires.

18. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `macc_simple_xmap.dot'.
Dumping module macc_16_16_32 to page 1.
Exec: dot -Tpdf 'macc_simple_xmap.dot' > 'macc_simple_xmap.pdf.new' && mv 'macc_simple_xmap.pdf.new' 'macc_simple_xmap.pdf'

End of script. Logfile hash: 4903010725, CPU: user 0.03s system 0.01s, MEM: 10.09 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 97% 7x show (1 sec), 0% 7x clean (0 sec), ...
../../yosys macc_xilinx_test.ys

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Executing script file `macc_xilinx_test.ys' --

1. Executing Verilog-2005 frontend: macc_xilinx_test.v
Parsing Verilog input from `macc_xilinx_test.v' to AST representation.
Generating RTLIL representation for module `\test1'.
Generating RTLIL representation for module `\test2'.
Successfully finished Verilog frontend.

2. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v
Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation.
Generating RTLIL representation for module `$__mul_wrapper'.
Generating RTLIL representation for module `$__add_wrapper'.
Successfully finished Verilog frontend.

3. Executing Verilog-2005 frontend: macc_xilinx_xmap.v
Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation.
Generating RTLIL representation for module `\DSP48_MACC'.
Successfully finished Verilog frontend.

4. Executing HIERARCHY pass (managing design hierarchy).
Removed 0 unused cells and 2 unused wires.

5. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `macc_xilinx_test1a.dot'.
Dumping module test1 to page 1.
Exec: dot -Tpdf 'macc_xilinx_test1a.dot' > 'macc_xilinx_test1a.pdf.new' && mv 'macc_xilinx_test1a.pdf.new' 'macc_xilinx_test1a.pdf'

6. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `macc_xilinx_test2a.dot'.
Dumping module test2 to page 1.
Exec: dot -Tpdf 'macc_xilinx_test2a.dot' > 'macc_xilinx_test2a.pdf.new' && mv 'macc_xilinx_test2a.pdf.new' 'macc_xilinx_test2a.pdf'

7. Executing TECHMAP pass (map to technology primitives).

7.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v
Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation.
Generating RTLIL representation for module `\mul_swap_ports'.
Successfully finished Verilog frontend.

7.2. Continuing TECHMAP pass.
Using template $paramod$cb31b7e2c27e209b1e5fc8ca3c6ec22d65eb4c07\mul_swap_ports for cells of type $mul.
No more expansions possible.
<suppressed ~80 debug messages>
Removed 0 unused cells and 8 unused wires.

8. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `macc_xilinx_test1b.dot'.
Dumping module test1 to page 1.
Exec: dot -Tpdf 'macc_xilinx_test1b.dot' > 'macc_xilinx_test1b.pdf.new' && mv 'macc_xilinx_test1b.pdf.new' 'macc_xilinx_test1b.pdf'

9. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `macc_xilinx_test2b.dot'.
Dumping module test2 to page 1.
Exec: dot -Tpdf 'macc_xilinx_test2b.dot' > 'macc_xilinx_test2b.pdf.new' && mv 'macc_xilinx_test2b.pdf.new' 'macc_xilinx_test2b.pdf'

10. Executing TECHMAP pass (map to technology primitives).

10.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v
Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation.
Generating RTLIL representation for module `\mul_wrap'.
Generating RTLIL representation for module `\add_wrap'.
Successfully finished Verilog frontend.

10.2. Continuing TECHMAP pass.
Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\mul_wrap for cells of type $mul.
Using template $paramod$48197a291a9e3825142389e9d2e41385cae2467c\mul_wrap for cells of type $mul.
Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\add_wrap for cells of type $add.
No more expansions possible.
<suppressed ~341 debug messages>

11. Executing CONNWRAPPERS pass (connect extended ports of wrapper cells).
Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:A: { 6'000000 $add$macc_xilinx_test.v:5$3_Y } -> { $techmap24$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y }
Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:B: { 6'000000 $mul$macc_xilinx_test.v:5$4_Y } -> { $techmap25$mul$macc_xilinx_test.v:5$4.Y_48 [47:42] $mul$macc_xilinx_test.v:5$4_Y }
Connected extended bits of test1.$add$macc_xilinx_test.v:5$3:B: { 6'000000 $mul$macc_xilinx_test.v:5$2_Y } -> { $techmap23$mul$macc_xilinx_test.v:5$2.Y_48 [47:42] $mul$macc_xilinx_test.v:5$2_Y }
Connected extended bits of test2.$add$macc_xilinx_test.v:12$10:B: { 6'000000 $add$macc_xilinx_test.v:12$9_Y } -> { $techmap21$add$macc_xilinx_test.v:12$9.Y_48 [47:42] $add$macc_xilinx_test.v:12$9_Y }
Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:A: { 6'000000 $mul$macc_xilinx_test.v:12$7_Y } -> { $techmap19$mul$macc_xilinx_test.v:12$7.Y_48 [47:42] $mul$macc_xilinx_test.v:12$7_Y }
Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:B: { 6'000000 $mul$macc_xilinx_test.v:12$8_Y } -> { $techmap17$mul$macc_xilinx_test.v:12$8.Y_48 [47:42] $mul$macc_xilinx_test.v:12$8_Y }
Removed 0 unused cells and 56 unused wires.

12. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `macc_xilinx_test1c.dot'.
Dumping module test1 to page 1.
Exec: dot -Tpdf 'macc_xilinx_test1c.dot' > 'macc_xilinx_test1c.pdf.new' && mv 'macc_xilinx_test1c.pdf.new' 'macc_xilinx_test1c.pdf'

13. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `macc_xilinx_test2c.dot'.
Dumping module test2 to page 1.
Exec: dot -Tpdf 'macc_xilinx_test2c.dot' > 'macc_xilinx_test2c.pdf.new' && mv 'macc_xilinx_test2c.pdf.new' 'macc_xilinx_test2c.pdf'

14. Executing Verilog-2005 frontend: macc_xilinx_xmap.v
Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation.
Generating RTLIL representation for module `\DSP48_MACC'.
Successfully finished Verilog frontend.

15. Executing TECHMAP pass (map to technology primitives).

15.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v
Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation.
Generating RTLIL representation for module `\mul_swap_ports'.
Successfully finished Verilog frontend.

15.2. Continuing TECHMAP pass.
No more expansions possible.
<suppressed ~23 debug messages>

16. Executing TECHMAP pass (map to technology primitives).

16.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v
Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation.
Generating RTLIL representation for module `\mul_wrap'.
Generating RTLIL representation for module `\add_wrap'.
Successfully finished Verilog frontend.

16.2. Continuing TECHMAP pass.
Using template $paramod$81421da67e3cf07e7ac8b39f28efc75ee750e82a\mul_wrap for cells of type $mul.
Using template $paramod$88aad6f8473fb7e4e5fbfb8335ddebad03429eaa\add_wrap for cells of type $add.
No more expansions possible.
<suppressed ~172 debug messages>
Removed 0 unused cells and 17 unused wires.

17. Executing EXTRACT pass (map subcircuits to cells).

17.1. Creating graphs for SubCircuit library.
Creating needle graph needle_DSP48_MACC.
Creating haystack graph haystack_$__add_wrapper.
Creating haystack graph haystack_$__mul_wrapper.
Creating haystack graph haystack_DSP48_MACC.
Creating haystack graph haystack_test1.
Creating haystack graph haystack_test2.

17.2. Running solver from SubCircuit library.
Solving for needle_DSP48_MACC in haystack_$__add_wrapper.
Solving for needle_DSP48_MACC in haystack_$__mul_wrapper.
Solving for needle_DSP48_MACC in haystack_DSP48_MACC.
Solving for needle_DSP48_MACC in haystack_test1.
Solving for needle_DSP48_MACC in haystack_test2.
Found 3 matches.

17.3. Substitute SubCircuits with cells.

Match #0: (needle_DSP48_MACC in haystack_test1)
  $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$3 \A:\B \B:\A \Y:\Y
  $const$0 -> $const$0 \Y:\Y
  $const$1 -> $const$1 \Y:\Y
  $const$x -> $const$x \Y:\Y
  $const$z -> $const$z \Y:\Y
  $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$2 \A:\A \B:\B \Y:\Y
  new cell: $extract$\DSP48_MACC$35

Match #1: (needle_DSP48_MACC in haystack_test1)
  $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$5 \A:\B \B:\A \Y:\Y
  $const$0 -> $const$0 \Y:\Y
  $const$1 -> $const$1 \Y:\Y
  $const$x -> $const$x \Y:\Y
  $const$z -> $const$z \Y:\Y
  $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$4 \A:\A \B:\B \Y:\Y
  new cell: $extract$\DSP48_MACC$36

Match #2: (needle_DSP48_MACC in haystack_test2)
  $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:12$9 \A:\A \B:\B \Y:\Y
  $const$0 -> $const$0 \Y:\Y
  $const$1 -> $const$1 \Y:\Y
  $const$x -> $const$x \Y:\Y
  $const$z -> $const$z \Y:\Y
  $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:12$7 \A:\A \B:\B \Y:\Y
  new cell: $extract$\DSP48_MACC$37
Removed 0 unused cells and 6 unused wires.

18. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `macc_xilinx_test1d.dot'.
Dumping module test1 to page 1.
Exec: dot -Tpdf 'macc_xilinx_test1d.dot' > 'macc_xilinx_test1d.pdf.new' && mv 'macc_xilinx_test1d.pdf.new' 'macc_xilinx_test1d.pdf'

19. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `macc_xilinx_test2d.dot'.
Dumping module test2 to page 1.
Exec: dot -Tpdf 'macc_xilinx_test2d.dot' > 'macc_xilinx_test2d.pdf.new' && mv 'macc_xilinx_test2d.pdf.new' 'macc_xilinx_test2d.pdf'

20. Executing TECHMAP pass (map to technology primitives).

20.1. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v
Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation.
Generating RTLIL representation for module `\$__mul_wrapper'.
Generating RTLIL representation for module `\$__add_wrapper'.
Successfully finished Verilog frontend.

20.2. Continuing TECHMAP pass.
Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\$__mul_wrapper for cells of type $__mul_wrapper.
Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\$__add_wrapper for cells of type $__add_wrapper.
No more expansions possible.
<suppressed ~44 debug messages>
Removed 0 unused cells and 14 unused wires.

21. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `macc_xilinx_test1e.dot'.
Dumping module test1 to page 1.
Exec: dot -Tpdf 'macc_xilinx_test1e.dot' > 'macc_xilinx_test1e.pdf.new' && mv 'macc_xilinx_test1e.pdf.new' 'macc_xilinx_test1e.pdf'

22. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `macc_xilinx_test2e.dot'.
Dumping module test2 to page 1.
Exec: dot -Tpdf 'macc_xilinx_test2e.dot' > 'macc_xilinx_test2e.pdf.new' && mv 'macc_xilinx_test2e.pdf.new' 'macc_xilinx_test2e.pdf'

23. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `macc_xilinx_xmap.dot'.
Dumping module DSP48_MACC to page 1.
Exec: dot -Tpdf 'macc_xilinx_xmap.dot' > 'macc_xilinx_xmap.pdf.new' && mv 'macc_xilinx_xmap.pdf.new' 'macc_xilinx_xmap.pdf'

End of script. Logfile hash: ff7d5c04b4, CPU: user 0.06s system 0.01s, MEM: 11.41 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 96% 11x show (1 sec), 1% 12x clean (0 sec), ...
make[2]: Leaving directory '/<<PKGBUILDDIR>>/manual/PRESENTATION_ExAdv'
+ make -C PRESENTATION_ExOth
make[2]: Entering directory '/<<PKGBUILDDIR>>/manual/PRESENTATION_ExOth'
../../yosys scrambler.ys

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Executing script file `scrambler.ys' --

1. Executing Verilog-2005 frontend: scrambler.v
Parsing Verilog input from `scrambler.v' to AST representation.
Generating RTLIL representation for module `\scrambler'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

3. Executing PROC pass (convert processes to netlists).

3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Marked 1 switch rules as full_case in process $proc$scrambler.v:6$1 in module scrambler.
Removed a total of 0 dead cases.

3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 2 assignments to connections.

3.4. Executing PROC_INIT pass (extract init attributes).

3.5. Executing PROC_ARST pass (detect async resets in processes).

3.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.
<suppressed ~1 debug messages>

3.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'.
     1/1: $1\xs[31:0]

3.8. Executing PROC_DLATCH pass (convert process syncs to latches).

3.9. Executing PROC_DFF pass (convert process syncs to FFs).
Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'.
  created $dff cell `$procdff$12' with positive edge clock.
Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'.
  created $dff cell `$procdff$13' with positive edge clock.

3.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\scrambler.$proc$scrambler.v:6$1'.
Removing empty process `scrambler.$proc$scrambler.v:6$1'.
Cleaned up 1 empty switch.

3.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module scrambler.
<suppressed ~3 debug messages>
Removed 0 unused cells and 5 unused wires.

4. Executing SUBMOD pass (moving cells to submodules as requested).

4.1. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \scrambler..

4.2. Continuing SUBMOD pass.
Creating submodule xorshift32 (\xorshift32) of module \scrambler.
  signal $shl$scrambler.v:11$6_Y: internal
  signal $0\xs[31:0]: output \n1
  signal $shr$scrambler.v:10$4_Y: internal
  signal $1\xs[31:0]: input \n2
  signal $xor$scrambler.v:9$3_Y: internal
  signal $xor$scrambler.v:10$5_Y: internal
  cell $xor$scrambler.v:9$3 ($xor)
  cell $xor$scrambler.v:11$7 ($xor)
  cell $xor$scrambler.v:10$5 ($xor)

5. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `scrambler_p01.dot'.
Dumping module scrambler to page 1.
Exec: dot -Tpdf 'scrambler_p01.dot' > 'scrambler_p01.pdf.new' && mv 'scrambler_p01.pdf.new' 'scrambler_p01.pdf'

6. Generating Graphviz representation of design.
Fontconfig error: No writable cache directories
Writing dot description to `scrambler_p02.dot'.
Dumping module xorshift32 to page 1.
Exec: dot -Tpdf 'scrambler_p02.dot' > 'scrambler_p02.pdf.new' && mv 'scrambler_p02.pdf.new' 'scrambler_p02.pdf'
echo on

yosys> cd xorshift32

yosys [xorshift32]> rename n2 in
Renaming wire n2 to in in module xorshift32.

yosys [xorshift32]> rename n1 out
Renaming wire n1 to out in module xorshift32.

yosys [xorshift32]> eval -set in 1 -show out

7. Executing EVAL pass (evaluate the circuit given an input).
Eval result: \out = 270369.

yosys [xorshift32]> eval -set in 270369 -show out

8. Executing EVAL pass (evaluate the circuit given an input).
Eval result: \out = 67634689.

yosys [xorshift32]> sat -set out 632435482

9. Executing SAT pass (solving SAT problems in the circuit).

Setting up SAT problem:
Import set-constraint: \out = 632435482
Final constraint equation: \out = 632435482
Imported 3 cells to SAT database.

Solving problem with 665 variables and 1735 clauses..
SAT solving finished - model found:

  Signal Name             Dec       Hex                                 Bin
  --------------- ----------- --------- -----------------------------------
  \in               745495504  2c6f5bd0    00101100011011110101101111010000
  \out              632435482  25b2331a    00100101101100100011001100011010

End of script. Logfile hash: 49537cc48b, CPU: user 0.02s system 0.00s, MEM: 10.28 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 96% 2x show (0 sec), 1% 1x sat (0 sec), ...
../../yosys -l equiv.log_new equiv.ys

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Executing script file `equiv.ys' --

1. Executing Verilog-2005 frontend: ../PRESENTATION_ExSyn/techmap_01.v
Parsing Verilog input from `../PRESENTATION_ExSyn/techmap_01.v' to AST representation.
Generating RTLIL representation for module `\test'.
Successfully finished Verilog frontend.

2. Executing HIERARCHY pass (managing design hierarchy).

2.1. Analyzing design hierarchy..
Top module:  \test

2.2. Analyzing design hierarchy..
Top module:  \test
Removed 0 unused modules.
Renaming module \test to \test_mapped.

3. Executing TECHMAP pass (map to technology primitives).

3.1. Executing Verilog-2005 frontend: ../PRESENTATION_ExSyn/techmap_01_map.v
Parsing Verilog input from `../PRESENTATION_ExSyn/techmap_01_map.v' to AST representation.
Generating RTLIL representation for module `\$add'.
Successfully finished Verilog frontend.

3.2. Continuing TECHMAP pass.
Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\$add for cells of type $add.
No more expansions possible.
<suppressed ~75 debug messages>

4. Executing MITER pass (creating miter circuit).
Creating miter cell "miter" with gold cell "test_orig" and gate cell "test_mapped".

5. Executing FLATTEN pass (flatten design).
<suppressed ~2 debug messages>

6. Executing SAT pass (solving SAT problems in the circuit).

Setting up SAT problem:
Final constraint equation: { } = { }
Imported 7 cells to SAT database.
Import proof for assert: $auto$miter.cc:242:create_miter_equiv$6 when 1'1.
Import show expression: \in_b
Import show expression: \in_a
Import show expression: \trigger
Import show expression: \gate_y
Import show expression: \gold_y

Solving problem with 945 variables and 2505 clauses..
SAT proof finished - no model found: SUCCESS!

                  /$$$$$$      /$$$$$$$$     /$$$$$$$    
                 /$$__  $$    | $$_____/    | $$__  $$   
                | $$  \ $$    | $$          | $$  \ $$   
                | $$  | $$    | $$$$$       | $$  | $$   
                | $$  | $$    | $$__/       | $$  | $$   
                | $$/$$ $$    | $$          | $$  | $$   
                |  $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$
                 \____ $$$|__/|________/|__/|_______/|__/
                       \__/                              

End of script. Logfile hash: 5b80c95f52, CPU: user 0.00s system 0.01s, MEM: 10.88 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 79% 1x sat (0 sec), 11% 1x techmap (0 sec), ...
mv equiv.log_new equiv.log
../../yosys -l axis_test.log_new axis_test.ys

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Executing script file `axis_test.ys' --

1. Executing Verilog-2005 frontend: axis_master.v
Parsing SystemVerilog input from `axis_master.v' to AST representation.
Generating RTLIL representation for module `\axis_master'.
Successfully finished Verilog frontend.

2. Executing Verilog-2005 frontend: axis_test.v
Parsing SystemVerilog input from `axis_test.v' to AST representation.
Generating RTLIL representation for module `\axis_test'.
Successfully finished Verilog frontend.

3. Executing HIERARCHY pass (managing design hierarchy).

3.1. Analyzing design hierarchy..
Top module:  \axis_test
Used module:     \axis_master

3.2. Analyzing design hierarchy..
Top module:  \axis_test
Used module:     \axis_master
Removed 0 unused modules.
Module axis_test directly or indirectly contains formal properties -> setting "keep" attribute.
Mapping positional arguments of cell axis_test.uut (axis_master).

4. Executing PROC pass (convert processes to netlists).

4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Marked 2 switch rules as full_case in process $proc$axis_master.v:7$1 in module axis_master.
Removed a total of 0 dead cases.

4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 14 assignments to connections.

4.4. Executing PROC_INIT pass (extract init attributes).
Found init rule in `\axis_test.$proc$axis_test.v:0$98'.
  Set init value: $formal$axis_test.v:22$23_EN = 1'0
Found init rule in `\axis_test.$proc$axis_test.v:0$96'.
  Set init value: $formal$axis_test.v:21$22_EN = 1'0
Found init rule in `\axis_test.$proc$axis_test.v:0$94'.
  Set init value: $formal$axis_test.v:20$21_EN = 1'0
Found init rule in `\axis_test.$proc$axis_test.v:0$92'.
  Set init value: $formal$axis_test.v:19$20_EN = 1'0
Found init rule in `\axis_test.$proc$axis_test.v:0$90'.
  Set init value: $formal$axis_test.v:18$19_EN = 1'0
Found init rule in `\axis_test.$proc$axis_test.v:0$88'.
  Set init value: $formal$axis_test.v:17$18_EN = 1'0
Found init rule in `\axis_test.$proc$axis_test.v:0$86'.
  Set init value: $formal$axis_test.v:16$17_EN = 1'0
Found init rule in `\axis_test.$proc$axis_test.v:0$84'.
  Set init value: $formal$axis_test.v:15$16_EN = 1'0
Found init rule in `\axis_test.$proc$axis_test.v:0$82'.
  Set init value: $formal$axis_test.v:14$15_EN = 1'0
Found init rule in `\axis_test.$proc$axis_test.v:0$80'.
  Set init value: $formal$axis_test.v:13$14_EN = 1'0
Found init rule in `\axis_test.$proc$axis_test.v:7$79'.
  Set init value: \aresetn = 1'0
Found init rule in `\axis_test.$proc$axis_test.v:6$78'.
  Set init value: \counter = 0

4.5. Executing PROC_ARST pass (detect async resets in processes).

4.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.
<suppressed ~15 debug messages>

4.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\axis_test.$proc$axis_test.v:0$98'.
Creating decoders for process `\axis_test.$proc$axis_test.v:0$96'.
Creating decoders for process `\axis_test.$proc$axis_test.v:0$94'.
Creating decoders for process `\axis_test.$proc$axis_test.v:0$92'.
Creating decoders for process `\axis_test.$proc$axis_test.v:0$90'.
Creating decoders for process `\axis_test.$proc$axis_test.v:0$88'.
Creating decoders for process `\axis_test.$proc$axis_test.v:0$86'.
Creating decoders for process `\axis_test.$proc$axis_test.v:0$84'.
Creating decoders for process `\axis_test.$proc$axis_test.v:0$82'.
Creating decoders for process `\axis_test.$proc$axis_test.v:0$80'.
Creating decoders for process `\axis_test.$proc$axis_test.v:7$79'.
Creating decoders for process `\axis_test.$proc$axis_test.v:6$78'.
Creating decoders for process `\axis_test.$proc$axis_test.v:11$24'.
     1/21: $0$formal$axis_test.v:13$14_EN[0:0]$26
     2/21: $0$formal$axis_test.v:13$14_CHECK[0:0]$25
     3/21: $0$formal$axis_test.v:14$15_EN[0:0]$28
     4/21: $0$formal$axis_test.v:14$15_CHECK[0:0]$27
     5/21: $0$formal$axis_test.v:15$16_EN[0:0]$30
     6/21: $0$formal$axis_test.v:15$16_CHECK[0:0]$29
     7/21: $0$formal$axis_test.v:16$17_EN[0:0]$32
     8/21: $0$formal$axis_test.v:16$17_CHECK[0:0]$31
     9/21: $0$formal$axis_test.v:17$18_EN[0:0]$34
    10/21: $0$formal$axis_test.v:17$18_CHECK[0:0]$33
    11/21: $0$formal$axis_test.v:18$19_EN[0:0]$36
    12/21: $0$formal$axis_test.v:18$19_CHECK[0:0]$35
    13/21: $0$formal$axis_test.v:19$20_EN[0:0]$38
    14/21: $0$formal$axis_test.v:19$20_CHECK[0:0]$37
    15/21: $0$formal$axis_test.v:20$21_EN[0:0]$40
    16/21: $0$formal$axis_test.v:20$21_CHECK[0:0]$39
    17/21: $0$formal$axis_test.v:21$22_EN[0:0]$42
    18/21: $0$formal$axis_test.v:21$22_CHECK[0:0]$41
    19/21: $0$formal$axis_test.v:22$23_EN[0:0]$44
    20/21: $0$formal$axis_test.v:22$23_CHECK[0:0]$43
    21/21: $0\counter[31:0]
Creating decoders for process `\axis_master.$proc$axis_master.v:7$1'.
     1/4: $2\state[31:0]
     2/4: $1\state[31:0]
     3/4: $0\tdata[7:0]
     4/4: $0\tvalid[0:0]

4.8. Executing PROC_DLATCH pass (convert process syncs to latches).

4.9. Executing PROC_DFF pass (convert process syncs to FFs).
Creating register for signal `\axis_test.\aresetn' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$209' with positive edge clock.
Creating register for signal `\axis_test.\counter' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$210' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:13$14_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$211' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:13$14_EN' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$212' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:14$15_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$213' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:14$15_EN' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$214' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:15$16_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$215' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:15$16_EN' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$216' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:16$17_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$217' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:16$17_EN' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$218' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:17$18_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$219' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:17$18_EN' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$220' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:18$19_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$221' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:18$19_EN' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$222' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:19$20_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$223' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:19$20_EN' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$224' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:20$21_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$225' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:20$21_EN' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$226' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:21$22_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$227' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:21$22_EN' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$228' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:22$23_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$229' with positive edge clock.
Creating register for signal `\axis_test.$formal$axis_test.v:22$23_EN' using process `\axis_test.$proc$axis_test.v:11$24'.
  created $dff cell `$procdff$230' with positive edge clock.
Creating register for signal `\axis_master.\tvalid' using process `\axis_master.$proc$axis_master.v:7$1'.
  created $dff cell `$procdff$231' with positive edge clock.
Creating register for signal `\axis_master.\tdata' using process `\axis_master.$proc$axis_master.v:7$1'.
  created $dff cell `$procdff$232' with positive edge clock.
Creating register for signal `\axis_master.\state' using process `\axis_master.$proc$axis_master.v:7$1'.
  created $dff cell `$procdff$233' with positive edge clock.

4.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `axis_test.$proc$axis_test.v:0$98'.
Removing empty process `axis_test.$proc$axis_test.v:0$96'.
Removing empty process `axis_test.$proc$axis_test.v:0$94'.
Removing empty process `axis_test.$proc$axis_test.v:0$92'.
Removing empty process `axis_test.$proc$axis_test.v:0$90'.
Removing empty process `axis_test.$proc$axis_test.v:0$88'.
Removing empty process `axis_test.$proc$axis_test.v:0$86'.
Removing empty process `axis_test.$proc$axis_test.v:0$84'.
Removing empty process `axis_test.$proc$axis_test.v:0$82'.
Removing empty process `axis_test.$proc$axis_test.v:0$80'.
Removing empty process `axis_test.$proc$axis_test.v:7$79'.
Removing empty process `axis_test.$proc$axis_test.v:6$78'.
Found and cleaned up 11 empty switches in `\axis_test.$proc$axis_test.v:11$24'.
Removing empty process `axis_test.$proc$axis_test.v:11$24'.
Found and cleaned up 4 empty switches in `\axis_master.$proc$axis_master.v:7$1'.
Removing empty process `axis_master.$proc$axis_master.v:7$1'.
Cleaned up 15 empty switches.

4.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module axis_test.
<suppressed ~1 debug messages>
Optimizing module axis_master.
<suppressed ~8 debug messages>

5. Executing FLATTEN pass (flatten design).
Deleting now unused module axis_master.
<suppressed ~1 debug messages>
Removed 1 unused cells and 92 unused wires.

6. Executing SAT pass (solving SAT problems in the circuit).

Setting up time step 1:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import set-constraint from init attribute: $formal$axis_test.v:13$14_EN = 1'0
Import set-constraint from init attribute: $formal$axis_test.v:14$15_EN = 1'0
Import set-constraint from init attribute: $formal$axis_test.v:15$16_EN = 1'0
Import set-constraint from init attribute: $formal$axis_test.v:16$17_EN = 1'0
Import set-constraint from init attribute: $formal$axis_test.v:17$18_EN = 1'0
Import set-constraint from init attribute: $formal$axis_test.v:18$19_EN = 1'0
Import set-constraint from init attribute: $formal$axis_test.v:19$20_EN = 1'0
Import set-constraint from init attribute: $formal$axis_test.v:20$21_EN = 1'0
Import set-constraint from init attribute: $formal$axis_test.v:21$22_EN = 1'0
Import set-constraint from init attribute: $formal$axis_test.v:22$23_EN = 1'0
Import set-constraint from init attribute: \aresetn = 1'0
Import set-constraint from init attribute: \counter = 0
Final init constraint equation: { \counter \aresetn $formal$axis_test.v:22$23_EN $formal$axis_test.v:21$22_EN $formal$axis_test.v:20$21_EN $formal$axis_test.v:19$20_EN $formal$axis_test.v:18$19_EN $formal$axis_test.v:17$18_EN $formal$axis_test.v:16$17_EN $formal$axis_test.v:15$16_EN $formal$axis_test.v:14$15_EN $formal$axis_test.v:13$14_EN } = 43'0000000000000000000000000000000000000000000
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 2:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 3:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 4:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 5:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 6:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 7:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 8:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 9:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 10:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 11:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 12:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 13:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 14:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 15:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 16:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 17:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 18:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 19:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 20:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 21:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 22:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 23:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 24:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 25:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 26:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 27:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 28:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 29:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 30:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 31:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 32:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 33:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 34:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 35:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 36:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 37:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 38:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 39:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 40:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 41:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 42:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 43:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 44:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 45:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 46:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 47:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 48:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 49:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Setting up time step 50:
Final constraint equation: { } = { }
Imported 117 cells to SAT database.
Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN.
Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN.
Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN.
Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN.
Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN.
Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN.
Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN.
Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN.
Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN.
Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN.

Solving problem with 135995 variables and 381779 clauses..
SAT proof finished - model found: FAIL!

   ______                   ___       ___       _ _            _ _ 
  (_____ \                 / __)     / __)     (_) |          | | |
   _____) )___ ___   ___ _| |__    _| |__ _____ _| | _____  __| | |
  |  ____/ ___) _ \ / _ (_   __)  (_   __|____ | | || ___ |/ _  |_|
  | |   | |  | |_| | |_| || |       | |  / ___ | | || ____( (_| |_ 
  |_|   |_|   \___/ \___/ |_|       |_|  \_____|_|\_)_____)\____|_|


  Time Signal Name                                  Dec       Hex                                 Bin
  ---- ------------------------------------ ----------- --------- -----------------------------------
  init $formal$axis_test.v:13$14_CHECK                0         0                                   0
  init $formal$axis_test.v:13$14_EN                   0         0                                   0
  init $formal$axis_test.v:14$15_CHECK                0         0                                   0
  init $formal$axis_test.v:14$15_EN                   0         0                                   0
  init $formal$axis_test.v:15$16_CHECK                0         0                                   0
  init $formal$axis_test.v:15$16_EN                   0         0                                   0
  init $formal$axis_test.v:16$17_CHECK                0         0                                   0
  init $formal$axis_test.v:16$17_EN                   0         0                                   0
  init $formal$axis_test.v:17$18_CHECK                0         0                                   0
  init $formal$axis_test.v:17$18_EN                   0         0                                   0
  init $formal$axis_test.v:18$19_CHECK                0         0                                   0
  init $formal$axis_test.v:18$19_EN                   0         0                                   0
  init $formal$axis_test.v:19$20_CHECK                0         0                                   0
  init $formal$axis_test.v:19$20_EN                   0         0                                   0
  init $formal$axis_test.v:20$21_CHECK                0         0                                   0
  init $formal$axis_test.v:20$21_EN                   0         0                                   0
  init $formal$axis_test.v:21$22_CHECK                0         0                                   0
  init $formal$axis_test.v:21$22_EN                   0         0                                   0
  init $formal$axis_test.v:22$23_CHECK                0         0                                   0
  init $formal$axis_test.v:22$23_EN                   0         0                                   0
  init \aresetn                                       0         0                                   0
  init \counter                                       0         0    00000000000000000000000000000000
  init \uut.state                                     0         0    00000000000000000000000000000000
  init \uut.tdata                                    64        40                            01000000
  init \uut.tvalid                                    1         1                                   1

End of script. Logfile hash: 47aa44b032, CPU: user 0.80s system 0.06s, MEM: 128.24 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 98% 1x sat (0 sec), 0% 3x read_verilog (0 sec), ...
mv axis_test.log_new axis_test.log
make[2]: Leaving directory '/<<PKGBUILDDIR>>/manual/PRESENTATION_ExOth'
+ make -C PRESENTATION_Prog
make[2]: Entering directory '/<<PKGBUILDDIR>>/manual/PRESENTATION_Prog'
../../yosys-config --exec --cxx -g -O2 -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wall -Wextra -ggdb -I../../share/include -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER --ldflags -o my_cmd.so -shared my_cmd.cc --ldlibs
my_cmd.cc: In member function ‘virtual void {anonymous}::MyPass::execute(std::vector<std::__cxx11::basic_string<char> >, Yosys::RTLIL::Design*)’:
my_cmd.cc:17:26: warning: format ‘%zd’ expects argument of type ‘signed size_t’, but argument 3 has type ‘int’ [-Wformat=]
   17 |             log("  %s (%zd wires, %zd cells)\n", log_id(mod),
      |                        ~~^
      |                          |
      |                          long int
      |                        %d
   18 |                     GetSize(mod->wires()), GetSize(mod->cells()));
      |                     ~~~~~~~~~~~~~~~~~~~~~
      |                            |
      |                            int
my_cmd.cc:17:37: warning: format ‘%zd’ expects argument of type ‘signed size_t’, but argument 4 has type ‘int’ [-Wformat=]
   17 |             log("  %s (%zd wires, %zd cells)\n", log_id(mod),
      |                                   ~~^
      |                                     |
      |                                     long int
      |                                   %d
   18 |                     GetSize(mod->wires()), GetSize(mod->cells()));
      |                                            ~~~~~~~~~~~~~~~~~~~~~
      |                                                   |
      |                                                   int
../../yosys -Ql test0.log_new -m ./my_cmd.so -p 'my_cmd foo bar' absval_ref.v

-- Parsing `absval_ref.v' using frontend ` -vlog2k' --

1. Executing Verilog-2005 frontend: absval_ref.v
Parsing Verilog input from `absval_ref.v' to AST representation.
Storing AST representation for module `$abstract\absval_ref'.
Successfully finished Verilog frontend.

-- Running command `my_cmd foo bar' --
Arguments to my_cmd:
  my_cmd
  foo
  bar
Modules in current design:
  $abstract\absval_ref (0 wires, 0 cells)

End of script. Logfile hash: a25069ff9d, CPU: user 0.01s system 0.00s, MEM: 7.71 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 95% 2x read_verilog (0 sec), 2% 1x read (0 sec), ...
mv test0.log_new test0.log
../../yosys -Ql test1.log_new -m ./my_cmd.so -p 'clean; test1; dump' absval_ref.v

-- Parsing `absval_ref.v' using frontend ` -vlog2k' --

1. Executing Verilog-2005 frontend: absval_ref.v
Parsing Verilog input from `absval_ref.v' to AST representation.
Storing AST representation for module `$abstract\absval_ref'.
Successfully finished Verilog frontend.

-- Running command `clean; test1; dump' --
Name of this module: absval

autoidx 4

module \absval

  wire width 4 $auto$my_cmd.cc:41:execute$1

  wire width 4 output 2 \y

  wire width 4 input 1 \a

  cell $mux $auto$my_cmd.cc:43:execute$3
    parameter \WIDTH 4
    connect \Y \y
    connect \S \a [3]
    connect \B $auto$my_cmd.cc:41:execute$1
    connect \A \a
  end

  cell $neg $auto$my_cmd.cc:42:execute$2
    parameter \Y_WIDTH 4
    parameter \A_WIDTH 4
    parameter \A_SIGNED 1
    connect \Y $auto$my_cmd.cc:41:execute$1
    connect \A \a
  end
end

attribute \cells_not_processed 1
attribute \src "absval_ref.v:1.1-3.10"
module $abstract\absval_ref
end

End of script. Logfile hash: 01cda1039c, CPU: user 0.00s system 0.00s, MEM: 7.84 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 74% 1x clean (0 sec), 21% 2x read_verilog (0 sec), ...
mv test1.log_new test1.log
../../yosys -Ql test2.log_new -m ./my_cmd.so -p 'hierarchy -top test; test2' sigmap_test.v

-- Parsing `sigmap_test.v' using frontend ` -vlog2k' --

1. Executing Verilog-2005 frontend: sigmap_test.v
Parsing Verilog input from `sigmap_test.v' to AST representation.
Storing AST representation for module `$abstract\test'.
Successfully finished Verilog frontend.

-- Running command `hierarchy -top test; test2' --

2. Executing HIERARCHY pass (managing design hierarchy).

3. Executing AST frontend in derive mode using pre-parsed AST for module `\test'.
Generating RTLIL representation for module `\test'.

3.1. Analyzing design hierarchy..
Top module:  \test

3.2. Analyzing design hierarchy..
Top module:  \test
Removing unused module `$abstract\test'.
Removed 1 unused modules.
0 0 0
1 1 1
Mapped signal x: \a

4. Doing important stuff!
Log message #0.
Log message #1.
Log message #2.
Log message #3.
Log message #4.
Log message #5.
Log message #6.
Log message #7.
Log message #8.
Log message #9.

End of script. Logfile hash: 8350de3c5a, CPU: user 0.00s system 0.00s, MEM: 7.63 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 48% 2x read_verilog (0 sec), 41% 1x hierarchy (0 sec), ...
mv test2.log_new test2.log
make[2]: Leaving directory '/<<PKGBUILDDIR>>/manual/PRESENTATION_Prog'
+ set -ex
+ pdflatex -shell-escape -halt-on-error presentation.tex
This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex)
 \write18 enabled.
entering extended mode
(./presentation.tex
LaTeX2e <2023-06-01>
L3 programming layer <2023-06-05>
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamer.cls
Document Class: beamer 2023/05/15 v3.70 A class for typesetting presentations
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemodes.sty
(/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasedecode.sty))
(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoptions.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty))
(/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty
(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered
.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code
.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet
ric.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod
e.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison
.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code
.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari
thmetics.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)))
(/usr/share/texlive/texmf-dist/tex/latex/base/size11.clo)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de
f)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code.
tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct.
code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code
.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c
ode.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation
s.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t
ex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing
.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c
ode.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))
) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/xxcolor.sty)
(/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty
(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty
(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))
(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty)
(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty)
(/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)
(/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty
(/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty)
(/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty
(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty)))
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def)
(/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def)
(/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)
(/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty
(/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty))

Package hyperref Message: Stopped early.

) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def
(/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty)
(/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty
(/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty)))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaserequires.sty
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecompatibility.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasefont.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty))
(/usr/share/texlive/texmf-dist/tex/latex/sansmathaccent/sansmathaccent.sty
(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile.sty
(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile-hook.sty
(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlogo.sty)))))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetranslator.sty
(/usr/share/texlive/texmf-dist/tex/latex/translator/translator.sty))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemisc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetwoscreens.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoverlay.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetitle.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasesection.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframe.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseverbatim.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframesize.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframecomponents.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecolor.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenotes.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetoc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetemplates.sty
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseauxtemplates.sty
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseboxes.sty)))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaselocalstructure.sty
(/usr/share/texlive/texmf-dist/tex/latex/tools/enumerate.sty))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigation.sty
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigationsymbols.tex
)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetheorems.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty
For additional information on amsmath, use the `?' option.
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty))
(/usr/share/texlive/texmf-dist/tex/latex/amscls/amsthm.sty))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasethemes.sty))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemedefault.sty
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerfontthemedefault.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemedefault.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemedefault.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemedefault.sty)))
(/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty)
(/usr/share/texmf/tex/latex/lm/lmodern.sty)
(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty
`inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe)
(/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty)
(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex))))
(/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty
(/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)
(/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf))
(/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex)
(/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty)
(/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty)
(/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty
(/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg))
(/usr/share/texlive/texmf-dist/tex/latex/setspace/setspace.sty)
(/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty)
(/usr/share/texlive/texmf-dist/tex/latex/units/units.sty
(/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty)
(/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex
) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex
)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65
.sty)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18
.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers
.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarytopaths.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarycalc.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryarrows.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code.
tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryscopes.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarythrough.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryshapes.geometric.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape
s.geometric.code.tex))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemeMadrid.sty
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemewhale.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeorchid.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemerounded.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemeinfolines.sty))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeseagull.sty)
(/usr/share/texmf/tex/latex/lm/t1lmss.fd)
(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)
No file presentation.aux.
*geometry* driver: auto-detecting
*geometry* detected driver: pdftex
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii
[Loading MPS to PDF converter (version 2006.09.02).]
) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty
(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))
(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-basic-dictionary
-English.dict)
(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-bibliography-dic
tionary-English.dict)
(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-environment-dict
ionary-English.dict)
(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-months-dictionar
y-English.dict)
(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-numbers-dictiona
ry-English.dict)
(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-theorem-dictiona
ry-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty)
No file presentation.nav.

Overfull \hbox (5.77223pt too wide) in paragraph at lines 99--99
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 103--103
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/en
c/dvips/lm/lm-ec.enc}]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 123--123
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[2]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 139--139
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[3]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 154--154
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[4] (./PRESENTATION_Intro.tex
Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[5]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 105--105
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[6]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[7]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[8]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[9]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[10]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[11]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[12]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 146--146
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[13]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 172--172
 [][]  \T1/lmss/m/n/6 ([])  
(/usr/share/texmf/tex/latex/lm/ot1lmss.fd)
(/usr/share/texmf/tex/latex/lm/omllmm.fd)
(/usr/share/texmf/tex/latex/lm/omslmsy.fd)
(/usr/share/texmf/tex/latex/lm/omxlmex.fd)
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd)
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd)
(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[14{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i4-t1-0.enc}]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 215--215
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[15]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 248--248
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[16]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 264--264
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[17]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 368--368
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[18]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[19]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[20]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[21]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[22]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[23]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[24]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[25]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[26]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[27]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[28]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[29]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[30]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[31]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 372--372
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 374--374
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty)
(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty)
(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty)
(./PRESENTATION_Intro/counter.v
(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/ts1zi4.fd)))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[32{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i4-ts1.enc}]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 376--376
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 383--383
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_Intro/mycells.lib)
(./PRESENTATION_Intro/mycells.lib))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[33]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 389--389
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 397--397
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_00.pdf): PDF inclus
ion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[34 <./PRESENTATION_Intro/counter_00.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 399--399
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 406--406
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_01.pdf): PDF inclus
ion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[35 <./PRESENTATION_Intro/counter_01.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 408--408
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 415--415
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_02.pdf): PDF inclus
ion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[36 <./PRESENTATION_Intro/counter_02.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 417--417
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 426--426
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_03.pdf): PDF inclus
ion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[37 <./PRESENTATION_Intro/counter_03.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 432--432
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 468--468
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (12.91035pt too wide) in paragraph at lines 9--11
[][][][][][][][][][][][][][] 
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[38]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 474--474
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 500--500
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[39]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 502--502
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 529--529
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[40]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 531--531
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 559--559
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[41]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 565--565
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 586--586
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[42]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 588--588
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 610--610
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[43]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 612--612
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 641--641
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \vbox (1.47926pt too high) detected at line 641

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[44]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 654--654
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[45]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 674--674
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[46{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc}]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 676--676
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 696--696
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[47]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 715--715
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[48]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 732--732
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[49]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 749--749
 [][]  \T1/lmss/m/n/6 ([])  
(/usr/share/texmf/tex/latex/lm/t1lmr.fd)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[50]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 766--766
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[51]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 782--782
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[52]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 799--799
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[53]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 823--823
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[54]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 841--841
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[55]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 862--862
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[56]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 884--884
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[57]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 907--907
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[58]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 930--930
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[59]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 955--955
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[60]) (./PRESENTATION_ExSyn.tex
Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[61]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 27--27
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[62]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 33--33
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 50--50
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[63]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 56--56
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 72--72
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[64]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 78--78
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 104--104
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[65]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 106--106
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 114--114
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExSyn/proc_01.v
Overfull \hbox (15.17795pt too wide) in paragraph at lines 1--2
[][][][][][][][][][][][][][][][][][][][][][][] 
) (./PRESENTATION_ExSyn/proc_01.ys)

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_01.pdf): PDF inclusion
: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[66 <./PRESENTATION_ExSyn/proc_01.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 116--116
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 125--125
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_02.pdf): PDF inclusion
: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/proc_02.v) (./PRESENTATION_ExSyn/proc_02.ys))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[67 <./PRESENTATION_ExSyn/proc_02.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 127--127
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 136--136
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_03.pdf): PDF inclusion
: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/proc_03.ys) (./PRESENTATION_ExSyn/proc_03.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[68 <./PRESENTATION_ExSyn/proc_03.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 142--142
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 166--166
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[69]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 168--168
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 177--177
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_01.pdf): PDF inclusion:
 found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/opt_01.ys) (./PRESENTATION_ExSyn/opt_01.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[70 <./PRESENTATION_ExSyn/opt_01.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 179--179
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 188--188
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_02.pdf): PDF inclusion:
 found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/opt_02.ys) (./PRESENTATION_ExSyn/opt_02.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[71 <./PRESENTATION_ExSyn/opt_02.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 190--190
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 199--199
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_03.pdf): PDF inclusion:
 found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/opt_03.ys) (./PRESENTATION_ExSyn/opt_03.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[72 <./PRESENTATION_ExSyn/opt_03.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 201--201
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 210--210
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_04.pdf): PDF inclusion:
 found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/opt_04.v
Overfull \hbox (3.65782pt too wide) in paragraph at lines 10--11
[][][][][][][][][][][][][][][] 
) (./PRESENTATION_ExSyn/opt_04.ys))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[73 <./PRESENTATION_ExSyn/opt_04.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 236--236
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[74]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 242--242
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 268--268
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \vbox (2.12932pt too high) detected at line 268

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[75]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 270--270
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 279--279
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/memory_01.pdf): PDF inclusi
on: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/memory_01.ys) (./PRESENTATION_ExSyn/memory_01.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[76 <./PRESENTATION_ExSyn/memory_01.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 281--281
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 290--290
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/memory_02.pdf): PDF inclusi
on: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/memory_02.v) (./PRESENTATION_ExSyn/memory_02.ys))
Overfull \vbox (4.767pt too high) detected at line 290

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[77 <./PRESENTATION_ExSyn/memory_02.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 296--296
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 320--320
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[78]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 342--342
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[79]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 362--362
 [][]  \T1/lmss/m/n/6 ([])  


pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/techmap_01.pdf): PDF inclus
ion: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/techmap_01_map.v)
Overfull \vbox (167.4641pt too high) detected at line 362
(./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys)
Overfull \vbox (77.37361pt too high) detected at line 362

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[80 <./PRESENTATION_ExSyn/techmap_01.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 383--383
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[81]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 409--409
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[82]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 411--411
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 419--419
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExSyn/abc_01.v)
(./PRESENTATION_ExSyn/abc_01.ys)

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/abc_01.pdf): PDF inclusion:
 found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[83 <./PRESENTATION_ExSyn/abc_01.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 442--442
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[84]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 448--448
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 489--489
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (12.91054pt too wide) in paragraph at lines 6--7
[][][][][][][][][][][][][][][] 

Overfull \hbox (30.19075pt too wide) in paragraph at lines 24--25
[][][][][][][][][][][][][][][][][][][][][][][][][][][] 

Overfull \hbox (35.95082pt too wide) in paragraph at lines 28--29
[][][][][][][][][][][][][][][][][][][][][][][][][][] 

Overfull \hbox (44.59093pt too wide) in paragraph at lines 29--31
[][][][][][][][][][][][][][][][][][][][][][][] 
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[85]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 514--514
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[86]) (./PRESENTATION_ExAdv.tex
Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[87]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 16--16
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[88]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 25--25
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[89]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 29--29
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 50--50
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[90]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 54--54
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 65--65
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[91]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 69--69
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 92--92
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[92]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 96--96
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 111--111
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[93]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 115--115
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 139--139
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (2.03467pt too wide) in paragraph at lines 7--8
[][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][
][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][]
[][][][][][][][][][][][][][][][][] 
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[94]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 143--143
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 167--167
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[95]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 171--171
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 193--193
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[96]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 197--197
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 221--221
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[97]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 223--223
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 231--231
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/select.v)
(./PRESENTATION_ExAdv/select.ys)

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/select.pdf): PDF inclusion:
 found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[98 <./PRESENTATION_ExAdv/select.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 240--240
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[99]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 260--260
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[100]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 272--272
 [][]  \T1/lmss/m/n/6 ([])  
(./PRESENTATION_ExAdv/red_or3x1_map.v) (./PRESENTATION_ExAdv/red_or3x1_map.v)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[101]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 285--285
 [][]  \T1/lmss/m/n/6 ([])  


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/red_or3x1.pdf): PDF inclusi
on: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/red_or3x1_test.ys)
Overfull \hbox (1.39024pt too wide) in paragraph at lines 4--285
[][][][][][][][][][][] 
(./PRESENTATION_ExAdv/red_or3x1_test.v)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[102 <./PRESENTATION_ExAdv/red_or3x1.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 305--305
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[103]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 321--321
 [][]  \T1/lmss/m/n/6 ([])  


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/sym_mul.pdf): PDF inclusion
: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/sym_mul_map.v) (./PRESENTATION_ExAdv/sym_mul_test.v)
(./PRESENTATION_ExAdv/sym_mul_test.ys)
Overfull \vbox (2.16698pt too high) detected at line 321

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[104 <./PRESENTATION_ExAdv/sym_mul.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 347--347
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[105]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 366--366
 [][]  \T1/lmss/m/n/6 ([])  


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/mymul.pdf): PDF inclusion: 
found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/mymul_map.v
Overfull \hbox (9.76546pt too wide) in paragraph at lines 6--8
[][][][][][][][][][][][][][][][][][] 
) (./PRESENTATION_ExAdv/mymul_test.v) (./PRESENTATION_ExAdv/mymul_test.ys)
(./PRESENTATION_ExAdv/mymul_test.ys)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[106 <./PRESENTATION_ExAdv/mymul.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 386--386
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[107]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 404--404
 [][]  \T1/lmss/m/n/6 ([])  


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/mulshift.pdf): PDF inclusio
n: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/mulshift_map.v
Overfull \hbox (34.2463pt too wide) in paragraph at lines 16--17
[][][][][][][][][][][][][][][][][][][][] 

Overfull \hbox (34.2463pt too wide) in paragraph at lines 20--21
[][][][][][][][][][][][][][][][][][][][] 
) (./PRESENTATION_ExAdv/mulshift_test.v) (./PRESENTATION_ExAdv/mulshift_test.ys
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[108 <./PRESENTATION_ExAdv/mulshift.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 427--427
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[109]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 445--445
 [][]  \T1/lmss/m/n/6 ([])  


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/addshift.pdf): PDF inclusio
n: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/addshift_map.v
Overfull \hbox (51.04654pt too wide) in paragraph at lines 16--17
[][][][][][][][][][][][][][][][][][][][][][][][] 

Overfull \hbox (54.40659pt too wide) in paragraph at lines 17--19
[][][][][][][][][] 
) (./PRESENTATION_ExAdv/addshift_test.v) (./PRESENTATION_ExAdv/addshift_test.ys
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[110 <./PRESENTATION_ExAdv/addshift.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 469--469
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[111]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 478--478
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[112]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 482--482
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 501--501
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[113]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 517--517
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[114]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 519--519
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 541--541
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00a.pdf): 
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): 
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/macc_simple_test.v)
(./PRESENTATION_ExAdv/macc_simple_xmap.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[115 <./PRESENTATION_ExAdv/macc_simple_test_00a.pdf> <./PRESENTATION_ExAdv/macc
_simple_test_00b.pdf

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): 
PDF inclusion: multiple pdfs with page group included in a single page
>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 543--543
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 554--554
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test_01.v)
Underfull \hbox (badness 10000) detected at line 3
[]|
(./PRESENTATION_ExAdv/macc_simple_test_02.v)
Underfull \hbox (badness 10000) detected at line 4
[]|


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01a.pdf): 
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): 
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): 
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): 
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[116 <./PRESENTATION_ExAdv/macc_simple_test_01a.pdf> <./PRESENTATION_ExAdv/macc
_simple_test_02a.pdf

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): 
PDF inclusion: multiple pdfs with page group included in a single page
> <./PRESENTATION_ExAdv/macc_simple_test_01b.pdf

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): 
PDF inclusion: multiple pdfs with page group included in a single page
> <./PRESENTATION_ExAdv/macc_simple_test_02b.pdf

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): 
PDF inclusion: multiple pdfs with page group included in a single page
>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 585--585
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[117]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 589--589
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 599--599
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v)
(./PRESENTATION_ExAdv/macc_xilinx_swap_map.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[118]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 601--601
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 610--610
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v
Overfull \hbox (2.21822pt too wide) in paragraph at lines 19--21
[][][][][][][][][][][][][][][][][] 
) (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v))
Overfull \vbox (1.21974pt too high) detected at line 610

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[119]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 612--612
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 621--621
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v)
Overfull \hbox (2.21822pt too wide) in paragraph at lines 66--6
[][][][][][][][][][][][][][][][][] 
(./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[120]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 623--623
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 629--629
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_xmap.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[121]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 631--631
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 640--640
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)
(./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[122]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 642--642
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 651--651
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)
(./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[123]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 653--653
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 671--671
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_test.v)
Underfull \hbox (badness 10000) detected at line 4
[]|
(./PRESENTATION_ExAdv/macc_xilinx_test.v)
Underfull \hbox (badness 10000) detected at line 5
[]|

Overfull \hbox (84.2987pt too wide) in paragraph at lines 10--11
[][][][][][][][] 

Overfull \hbox (26.69807pt too wide) in paragraph at lines 11--12
[][][][][][][] 


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[124 <./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf> <./PRESENTATION_ExAdv/macc_x
ilinx_test2a.pdf

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD
F inclusion: multiple pdfs with page group included in a single page
>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 673--673
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 690--690
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (99.65887pt too wide) in paragraph at lines 10--11
[][][][][][][][][][][][][] 


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[125 <./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf> <./PRESENTATION_ExAdv/macc_x
ilinx_test2b.pdf

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD
F inclusion: multiple pdfs with page group included in a single page
>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 692--692
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 710--710
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11
[][][][][][][][][][] 

Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12
[][][][][][][][] 

Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13
[][][][][][][][][] 

Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14
[][][][][][][][] 


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[126 <./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 712--712
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 730--730
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11
[][][][][][][][][][] 

Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12
[][][][][][][][] 

Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13
[][][][][][][][][] 

Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14
[][][][][][][][] 


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[127 <./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 732--732
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 758--758
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15
[][]  


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[128 <./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 760--760
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 786--786
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15
[][]  


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[129 <./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 788--788
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 799--799
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[130 <./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 809--809
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[131]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 827--827
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[132]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 831--831
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 872--872
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (52.00592pt too wide) in paragraph at lines 24--25
[][][][][][][][][][][][][][][][][] 
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[133]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 895--895
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[134]) (./PRESENTATION_ExOth.tex
Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[135]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 14--14
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[136]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 23--23
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[137]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 43--43
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[138]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 45--45
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 65--65
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExOth/scrambler.v)

pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p01.pdf): PDF inc
lusion: found PDF version <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc
lusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \vbox (12.54794pt too high) detected at line 65

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[139 <./PRESENTATION_ExOth/scrambler_p01.pdf> <./PRESENTATION_ExOth/scrambler_p
02.pdf

pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc
lusion: multiple pdfs with page group included in a single page
>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 67--67
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 89--89
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[140]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 98--98
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[141]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 115--115
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[142]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 131--131
 [][]  \T1/lmss/m/n/6 ([])  
(./PRESENTATION_ExSyn/techmap_01_map.v)
Overfull \vbox (167.4641pt too high) detected at line 131
(./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys)
Overfull \vbox (77.37361pt too high) detected at line 131

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[143]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 133--133
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 159--159
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \vbox (2.61974pt too high) detected at line 159

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[144]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 161--161
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 177--177
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExOth/axis_master.v)
(./PRESENTATION_ExOth/axis_test.v))
Overfull \vbox (5.41972pt too high) detected at line 177

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[145]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 179--179
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 201--201
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[146]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 226--226
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[147]) (./PRESENTATION_Prog.tex
Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[148]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 39--39
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[149]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 68--68
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[150]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 74--74
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 100--100
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[151]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 118--118
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[152]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 144--144
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[153]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 168--168
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[154]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 172--172
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 193--193
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty)
(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty)
(/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[155]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 197--197
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 218--218
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[156]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 222--222
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 251--251
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[157]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 255--255
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 280--280
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[158]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 284--284
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 316--316
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[159]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 318--318
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 338--338
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[160]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 342--342
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 364--364
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[161]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 370--370
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 399--399
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \vbox (2.21974pt too high) detected at line 399

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[162]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 420--420
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[163]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 426--426
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 454--454
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[164]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 460--460
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 485--485
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[165]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 491--491
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 510--510
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[166]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 516--516
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 540--540
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[167]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 546--546
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 568--568
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[168]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 595--595
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[169]) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux
) (./PRESENTATION_ExAdv.aux) (./PRESENTATION_ExOth.aux)
(./PRESENTATION_Prog.aux))

Package rerunfilecheck Warning: File `presentation.out' has changed.
(rerunfilecheck)                Rerun to get outlines right
(rerunfilecheck)                or use package `bookmark'.

 )
(see the transcript file for additional information)</usr/share/texlive/texmf-d
ist/fonts/type1/public/inconsolata/Inconsolata-zi4b.pfb></usr/share/texlive/tex
mf-dist/fonts/type1/public/inconsolata/Inconsolata-zi4r.pfb></usr/share/texmf/f
onts/type1/public/lm/lmr10.pfb></usr/share/texmf/fonts/type1/public/lm/lmss10.p
fb></usr/share/texmf/fonts/type1/public/lm/lmss12.pfb></usr/share/texmf/fonts/t
ype1/public/lm/lmss8.pfb></usr/share/texmf/fonts/type1/public/lm/lmss9.pfb></us
r/share/texmf/fonts/type1/public/lm/lmssbx10.pfb></usr/share/texmf/fonts/type1/
public/lm/lmsso10.pfb></usr/share/texmf/fonts/type1/public/lm/lmsso9.pfb></usr/
share/texmf/fonts/type1/public/lm/lmsy10.pfb>
Output written on presentation.pdf (169 pages, 888303 bytes).
Transcript written on presentation.log.
+ false
+ md5sum PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux presentation.aux presentation.snm presentation.nav presentation.toc
+ cmp autoloop.old autoloop.new
cmp: EOF on autoloop.old which is empty
+ cp autoloop.new autoloop.old
+ pdflatex -shell-escape -halt-on-error presentation.tex
This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex)
 \write18 enabled.
entering extended mode
(./presentation.tex
LaTeX2e <2023-06-01>
L3 programming layer <2023-06-05>
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamer.cls
Document Class: beamer 2023/05/15 v3.70 A class for typesetting presentations
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemodes.sty
(/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasedecode.sty))
(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoptions.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty))
(/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty
(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered
.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code
.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet
ric.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod
e.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison
.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code
.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari
thmetics.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)))
(/usr/share/texlive/texmf-dist/tex/latex/base/size11.clo)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de
f)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code.
tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct.
code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code
.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c
ode.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation
s.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t
ex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing
.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te
x)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c
ode.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code.
tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))
) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/xxcolor.sty)
(/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty
(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty
(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))
(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty)
(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)
(/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty)
(/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)
(/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty
(/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty)
(/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty
(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty)))
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def)
(/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def)
(/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)
(/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty
(/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty))

Package hyperref Message: Stopped early.

) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def
(/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty)
(/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty
(/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty)))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaserequires.sty
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecompatibility.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasefont.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty))
(/usr/share/texlive/texmf-dist/tex/latex/sansmathaccent/sansmathaccent.sty
(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile.sty
(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile-hook.sty
(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlogo.sty)))))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetranslator.sty
(/usr/share/texlive/texmf-dist/tex/latex/translator/translator.sty))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemisc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetwoscreens.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoverlay.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetitle.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasesection.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframe.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseverbatim.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframesize.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframecomponents.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecolor.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenotes.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetoc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetemplates.sty
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseauxtemplates.sty
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseboxes.sty)))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaselocalstructure.sty
(/usr/share/texlive/texmf-dist/tex/latex/tools/enumerate.sty))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigation.sty
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigationsymbols.tex
)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetheorems.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty
For additional information on amsmath, use the `?' option.
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)
(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty))
(/usr/share/texlive/texmf-dist/tex/latex/amscls/amsthm.sty))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasethemes.sty))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemedefault.sty
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerfontthemedefault.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemedefault.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemedefault.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemedefault.sty)))
(/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty)
(/usr/share/texmf/tex/latex/lm/lmodern.sty)
(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty
`inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe)
(/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty)
(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex
(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex))))
(/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty
(/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)
(/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf))
(/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex)
(/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty)
(/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty)
(/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty
(/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty)
(/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg))
(/usr/share/texlive/texmf-dist/tex/latex/setspace/setspace.sty)
(/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty)
(/usr/share/texlive/texmf-dist/tex/latex/units/units.sty
(/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty)
(/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty))
(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty
(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex
) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex
)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65
.sty)
(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18
.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty
(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex

(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers
.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex
)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarytopaths.code.tex)))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarycalc.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryarrows.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code.
tex))
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryscopes.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibrarythrough.code.tex)
(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik
zlibraryshapes.geometric.code.tex
(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape
s.geometric.code.tex))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemeMadrid.sty
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemewhale.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeorchid.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemerounded.sty)
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemeinfolines.sty))
(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeseagull.sty)
(/usr/share/texmf/tex/latex/lm/t1lmss.fd)
(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)
(./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux)
(./PRESENTATION_ExAdv.aux) (./PRESENTATION_ExOth.aux) (./PRESENTATION_Prog.aux)
)
*geometry* driver: auto-detecting
*geometry* detected driver: pdftex
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii
[Loading MPS to PDF converter (version 2006.09.02).]
) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty
(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))
(./presentation.out) (./presentation.out)
(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-basic-dictionary
-English.dict)
(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-bibliography-dic
tionary-English.dict)
(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-environment-dict
ionary-English.dict)
(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-months-dictionar
y-English.dict)
(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-numbers-dictiona
ry-English.dict)
(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-theorem-dictiona
ry-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty)
(./presentation.nav)
Overfull \hbox (5.77223pt too wide) in paragraph at lines 99--99
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 103--103
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/en
c/dvips/lm/lm-ec.enc}]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 123--123
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[2]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 139--139
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[3]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 154--154
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[4] (./PRESENTATION_Intro.tex
Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[5]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 105--105
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[6]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[7]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[8]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[9]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[10]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[11]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[12]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 146--146
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[13]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 172--172
 [][]  \T1/lmss/m/n/6 ([])  
(/usr/share/texmf/tex/latex/lm/ot1lmss.fd)
(/usr/share/texmf/tex/latex/lm/omllmm.fd)
(/usr/share/texmf/tex/latex/lm/omslmsy.fd)
(/usr/share/texmf/tex/latex/lm/omxlmex.fd)
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd)
(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd)
(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[14{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i4-t1-0.enc}]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 215--215
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[15]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 248--248
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[16]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 264--264
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[17]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 368--368
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[18]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[19]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[20]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[21]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[22]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[23]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[24]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[25]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[26]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[27]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[28]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[29]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[30]
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[31]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 372--372
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 374--374
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty)
(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty)
(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty)
(./PRESENTATION_Intro/counter.v
(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/ts1zi4.fd)))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[32{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i4-ts1.enc}]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 376--376
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 383--383
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_Intro/mycells.lib)
(./PRESENTATION_Intro/mycells.lib))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[33]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 389--389
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 397--397
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_00.pdf): PDF inclus
ion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[34 <./PRESENTATION_Intro/counter_00.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 399--399
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 406--406
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_01.pdf): PDF inclus
ion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[35 <./PRESENTATION_Intro/counter_01.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 408--408
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 415--415
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_02.pdf): PDF inclus
ion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[36 <./PRESENTATION_Intro/counter_02.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 417--417
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 426--426
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_03.pdf): PDF inclus
ion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[37 <./PRESENTATION_Intro/counter_03.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 432--432
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 468--468
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (12.91035pt too wide) in paragraph at lines 9--11
[][][][][][][][][][][][][][] 
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[38]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 474--474
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 500--500
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[39]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 502--502
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 529--529
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[40]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 531--531
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 559--559
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[41]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 565--565
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 586--586
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[42]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 588--588
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 610--610
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[43]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 612--612
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 641--641
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \vbox (1.47926pt too high) detected at line 641

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[44]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 654--654
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[45]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 674--674
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[46{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc}]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 676--676
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 696--696
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[47]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 715--715
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[48]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 732--732
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[49]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 749--749
 [][]  \T1/lmss/m/n/6 ([])  
(/usr/share/texmf/tex/latex/lm/t1lmr.fd)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[50]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 766--766
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[51]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 782--782
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[52]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 799--799
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[53]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 823--823
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[54]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 841--841
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[55]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 862--862
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[56]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 884--884
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[57]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 907--907
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[58]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 930--930
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[59]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 955--955
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[60]) (./PRESENTATION_ExSyn.tex
Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[61]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 27--27
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[62]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 33--33
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 50--50
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[63]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 56--56
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 72--72
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[64]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 78--78
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 104--104
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[65]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 106--106
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 114--114
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExSyn/proc_01.v
Overfull \hbox (15.17795pt too wide) in paragraph at lines 1--2
[][][][][][][][][][][][][][][][][][][][][][][] 
) (./PRESENTATION_ExSyn/proc_01.ys)

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_01.pdf): PDF inclusion
: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[66 <./PRESENTATION_ExSyn/proc_01.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 116--116
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 125--125
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_02.pdf): PDF inclusion
: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/proc_02.v) (./PRESENTATION_ExSyn/proc_02.ys))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[67 <./PRESENTATION_ExSyn/proc_02.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 127--127
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 136--136
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_03.pdf): PDF inclusion
: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/proc_03.ys) (./PRESENTATION_ExSyn/proc_03.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[68 <./PRESENTATION_ExSyn/proc_03.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 142--142
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 166--166
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[69]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 168--168
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 177--177
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_01.pdf): PDF inclusion:
 found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/opt_01.ys) (./PRESENTATION_ExSyn/opt_01.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[70 <./PRESENTATION_ExSyn/opt_01.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 179--179
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 188--188
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_02.pdf): PDF inclusion:
 found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/opt_02.ys) (./PRESENTATION_ExSyn/opt_02.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[71 <./PRESENTATION_ExSyn/opt_02.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 190--190
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 199--199
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_03.pdf): PDF inclusion:
 found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/opt_03.ys) (./PRESENTATION_ExSyn/opt_03.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[72 <./PRESENTATION_ExSyn/opt_03.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 201--201
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 210--210
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_04.pdf): PDF inclusion:
 found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/opt_04.v
Overfull \hbox (3.65782pt too wide) in paragraph at lines 10--11
[][][][][][][][][][][][][][][] 
) (./PRESENTATION_ExSyn/opt_04.ys))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[73 <./PRESENTATION_ExSyn/opt_04.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 236--236
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[74]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 242--242
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 268--268
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \vbox (2.12932pt too high) detected at line 268

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[75]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 270--270
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 279--279
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/memory_01.pdf): PDF inclusi
on: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/memory_01.ys) (./PRESENTATION_ExSyn/memory_01.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[76 <./PRESENTATION_ExSyn/memory_01.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 281--281
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 290--290
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/memory_02.pdf): PDF inclusi
on: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/memory_02.v) (./PRESENTATION_ExSyn/memory_02.ys))
Overfull \vbox (4.767pt too high) detected at line 290

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[77 <./PRESENTATION_ExSyn/memory_02.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 296--296
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 320--320
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[78]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 342--342
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[79]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 362--362
 [][]  \T1/lmss/m/n/6 ([])  


pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/techmap_01.pdf): PDF inclus
ion: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExSyn/techmap_01_map.v)
Overfull \vbox (167.4641pt too high) detected at line 362
(./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys)
Overfull \vbox (77.37361pt too high) detected at line 362

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[80 <./PRESENTATION_ExSyn/techmap_01.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 383--383
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[81]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 409--409
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[82]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 411--411
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 419--419
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExSyn/abc_01.v)
(./PRESENTATION_ExSyn/abc_01.ys)

pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/abc_01.pdf): PDF inclusion:
 found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[83 <./PRESENTATION_ExSyn/abc_01.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 442--442
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[84]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 448--448
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 489--489
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (12.91054pt too wide) in paragraph at lines 6--7
[][][][][][][][][][][][][][][] 

Overfull \hbox (30.19075pt too wide) in paragraph at lines 24--25
[][][][][][][][][][][][][][][][][][][][][][][][][][][] 

Overfull \hbox (35.95082pt too wide) in paragraph at lines 28--29
[][][][][][][][][][][][][][][][][][][][][][][][][][] 

Overfull \hbox (44.59093pt too wide) in paragraph at lines 29--31
[][][][][][][][][][][][][][][][][][][][][][][] 
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[85]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 514--514
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[86]) (./PRESENTATION_ExAdv.tex
Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[87]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 16--16
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[88]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 25--25
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[89]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 29--29
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 50--50
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[90]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 54--54
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 65--65
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[91]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 69--69
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 92--92
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[92]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 96--96
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 111--111
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[93]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 115--115
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 139--139
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (2.03467pt too wide) in paragraph at lines 7--8
[][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][
][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][]
[][][][][][][][][][][][][][][][][] 
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[94]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 143--143
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 167--167
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[95]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 171--171
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 193--193
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[96]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 197--197
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 221--221
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[97]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 223--223
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 231--231
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/select.v)
(./PRESENTATION_ExAdv/select.ys)

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/select.pdf): PDF inclusion:
 found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[98 <./PRESENTATION_ExAdv/select.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 240--240
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[99]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 260--260
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[100]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 272--272
 [][]  \T1/lmss/m/n/6 ([])  
(./PRESENTATION_ExAdv/red_or3x1_map.v) (./PRESENTATION_ExAdv/red_or3x1_map.v)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[101]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 285--285
 [][]  \T1/lmss/m/n/6 ([])  


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/red_or3x1.pdf): PDF inclusi
on: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/red_or3x1_test.ys)
Overfull \hbox (1.39024pt too wide) in paragraph at lines 4--285
[][][][][][][][][][][] 
(./PRESENTATION_ExAdv/red_or3x1_test.v)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[102 <./PRESENTATION_ExAdv/red_or3x1.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 305--305
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[103]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 321--321
 [][]  \T1/lmss/m/n/6 ([])  


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/sym_mul.pdf): PDF inclusion
: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/sym_mul_map.v) (./PRESENTATION_ExAdv/sym_mul_test.v)
(./PRESENTATION_ExAdv/sym_mul_test.ys)
Overfull \vbox (2.16698pt too high) detected at line 321

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[104 <./PRESENTATION_ExAdv/sym_mul.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 347--347
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[105]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 366--366
 [][]  \T1/lmss/m/n/6 ([])  


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/mymul.pdf): PDF inclusion: 
found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/mymul_map.v
Overfull \hbox (9.76546pt too wide) in paragraph at lines 6--8
[][][][][][][][][][][][][][][][][][] 
) (./PRESENTATION_ExAdv/mymul_test.v) (./PRESENTATION_ExAdv/mymul_test.ys)
(./PRESENTATION_ExAdv/mymul_test.ys)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[106 <./PRESENTATION_ExAdv/mymul.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 386--386
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[107]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 404--404
 [][]  \T1/lmss/m/n/6 ([])  


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/mulshift.pdf): PDF inclusio
n: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/mulshift_map.v
Overfull \hbox (34.2463pt too wide) in paragraph at lines 16--17
[][][][][][][][][][][][][][][][][][][][] 

Overfull \hbox (34.2463pt too wide) in paragraph at lines 20--21
[][][][][][][][][][][][][][][][][][][][] 
) (./PRESENTATION_ExAdv/mulshift_test.v) (./PRESENTATION_ExAdv/mulshift_test.ys
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[108 <./PRESENTATION_ExAdv/mulshift.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 427--427
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[109]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 445--445
 [][]  \T1/lmss/m/n/6 ([])  


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/addshift.pdf): PDF inclusio
n: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/addshift_map.v
Overfull \hbox (51.04654pt too wide) in paragraph at lines 16--17
[][][][][][][][][][][][][][][][][][][][][][][][] 

Overfull \hbox (54.40659pt too wide) in paragraph at lines 17--19
[][][][][][][][][] 
) (./PRESENTATION_ExAdv/addshift_test.v) (./PRESENTATION_ExAdv/addshift_test.ys
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[110 <./PRESENTATION_ExAdv/addshift.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 469--469
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[111]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 478--478
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[112]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 482--482
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 501--501
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[113]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 517--517
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[114]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 519--519
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 541--541
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00a.pdf): 
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): 
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed
(./PRESENTATION_ExAdv/macc_simple_test.v)
(./PRESENTATION_ExAdv/macc_simple_xmap.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[115 <./PRESENTATION_ExAdv/macc_simple_test_00a.pdf> <./PRESENTATION_ExAdv/macc
_simple_test_00b.pdf

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): 
PDF inclusion: multiple pdfs with page group included in a single page
>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 543--543
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 554--554
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test_01.v)
Underfull \hbox (badness 10000) detected at line 3
[]|
(./PRESENTATION_ExAdv/macc_simple_test_02.v)
Underfull \hbox (badness 10000) detected at line 4
[]|


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01a.pdf): 
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): 
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): 
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): 
PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[116 <./PRESENTATION_ExAdv/macc_simple_test_01a.pdf> <./PRESENTATION_ExAdv/macc
_simple_test_02a.pdf

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): 
PDF inclusion: multiple pdfs with page group included in a single page
> <./PRESENTATION_ExAdv/macc_simple_test_01b.pdf

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): 
PDF inclusion: multiple pdfs with page group included in a single page
> <./PRESENTATION_ExAdv/macc_simple_test_02b.pdf

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): 
PDF inclusion: multiple pdfs with page group included in a single page
>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 585--585
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[117]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 589--589
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 599--599
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v)
(./PRESENTATION_ExAdv/macc_xilinx_swap_map.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[118]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 601--601
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 610--610
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v
Overfull \hbox (2.21822pt too wide) in paragraph at lines 19--21
[][][][][][][][][][][][][][][][][] 
) (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v))
Overfull \vbox (1.21974pt too high) detected at line 610

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[119]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 612--612
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 621--621
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v)
Overfull \hbox (2.21822pt too wide) in paragraph at lines 66--6
[][][][][][][][][][][][][][][][][] 
(./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[120]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 623--623
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 629--629
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_xmap.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[121]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 631--631
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 640--640
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)
(./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[122]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 642--642
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 651--651
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)
(./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[123]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 653--653
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 671--671
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_test.v)
Underfull \hbox (badness 10000) detected at line 4
[]|
(./PRESENTATION_ExAdv/macc_xilinx_test.v)
Underfull \hbox (badness 10000) detected at line 5
[]|

Overfull \hbox (84.2987pt too wide) in paragraph at lines 10--11
[][][][][][][][] 

Overfull \hbox (26.69807pt too wide) in paragraph at lines 11--12
[][][][][][][] 


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[124 <./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf> <./PRESENTATION_ExAdv/macc_x
ilinx_test2a.pdf

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD
F inclusion: multiple pdfs with page group included in a single page
>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 673--673
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 690--690
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (99.65887pt too wide) in paragraph at lines 10--11
[][][][][][][][][][][][][] 


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[125 <./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf> <./PRESENTATION_ExAdv/macc_x
ilinx_test2b.pdf

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD
F inclusion: multiple pdfs with page group included in a single page
>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 692--692
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 710--710
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11
[][][][][][][][][][] 

Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12
[][][][][][][][] 

Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13
[][][][][][][][][] 

Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14
[][][][][][][][] 


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[126 <./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 712--712
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 730--730
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11
[][][][][][][][][][] 

Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12
[][][][][][][][] 

Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13
[][][][][][][][][] 

Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14
[][][][][][][][] 


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[127 <./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 732--732
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 758--758
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15
[][]  


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[128 <./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 760--760
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 786--786
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15
[][]  


pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[129 <./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 788--788
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 799--799
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb

pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf): PD
F inclusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[130 <./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 809--809
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[131]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 827--827
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[132]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 831--831
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 872--872
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
Overfull \hbox (52.00592pt too wide) in paragraph at lines 24--25
[][][][][][][][][][][][][][][][][] 
)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[133]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 895--895
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[134]) (./PRESENTATION_ExOth.tex
Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[135]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 14--14
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[136]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 23--23
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[137]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 43--43
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[138]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 45--45
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 65--65
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExOth/scrambler.v)

pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p01.pdf): PDF inc
lusion: found PDF version <1.7>, but at most version <1.5> allowed


pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc
lusion: found PDF version <1.7>, but at most version <1.5> allowed
)
Overfull \vbox (12.54794pt too high) detected at line 65

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[139 <./PRESENTATION_ExOth/scrambler_p01.pdf> <./PRESENTATION_ExOth/scrambler_p
02.pdf

pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc
lusion: multiple pdfs with page group included in a single page
>]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 67--67
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 89--89
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[140]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 98--98
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[141]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 115--115
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[142]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 131--131
 [][]  \T1/lmss/m/n/6 ([])  
(./PRESENTATION_ExSyn/techmap_01_map.v)
Overfull \vbox (167.4641pt too high) detected at line 131
(./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys)
Overfull \vbox (77.37361pt too high) detected at line 131

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[143]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 133--133
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 159--159
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \vbox (2.61974pt too high) detected at line 159

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[144]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 161--161
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 177--177
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb (./PRESENTATION_ExOth/axis_master.v)
(./PRESENTATION_ExOth/axis_test.v))
Overfull \vbox (5.41972pt too high) detected at line 177

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[145]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 179--179
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 201--201
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[146]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 226--226
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[147]) (./PRESENTATION_Prog.tex
Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[148]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 39--39
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[149]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 68--68
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[150]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 74--74
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 100--100
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[151]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 118--118
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[152]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 144--144
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[153]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 168--168
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[154]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 172--172
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 193--193
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb
(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty)
(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty)
(/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty))
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[155]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 197--197
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 218--218
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[156]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 222--222
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 251--251
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[157]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 255--255
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 280--280
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[158]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 284--284
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 316--316
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[159]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 318--318
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 338--338
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[160]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 342--342
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 364--364
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[161]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 370--370
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 399--399
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \vbox (2.21974pt too high) detected at line 399

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[162]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 420--420
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[163]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 426--426
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 454--454
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[164]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 460--460
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 485--485
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[165]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 491--491
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 510--510
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[166]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 516--516
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 540--540
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[167]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 546--546
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) in paragraph at lines 568--568
 [][]  \T1/lmss/m/n/6 ([])  
(./presentation.vrb)
Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[168]
Overfull \hbox (5.77223pt too wide) in paragraph at lines 595--595
 [][]  \T1/lmss/m/n/6 ([])  

Overfull \hbox (5.77223pt too wide) has occurred while \output is active
 [][]  \T1/lmss/m/n/6 ([])  
[169]) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux
) (./PRESENTATION_ExAdv.aux) (./PRESENTATION_ExOth.aux)
(./PRESENTATION_Prog.aux)) )
(see the transcript file for additional information)</usr/share/texlive/texmf-d
ist/fonts/type1/public/inconsolata/Inconsolata-zi4b.pfb></usr/share/texlive/tex
mf-dist/fonts/type1/public/inconsolata/Inconsolata-zi4r.pfb></usr/share/texmf/f
onts/type1/public/lm/lmr10.pfb></usr/share/texmf/fonts/type1/public/lm/lmss10.p
fb></usr/share/texmf/fonts/type1/public/lm/lmss12.pfb></usr/share/texmf/fonts/t
ype1/public/lm/lmss8.pfb></usr/share/texmf/fonts/type1/public/lm/lmss9.pfb></us
r/share/texmf/fonts/type1/public/lm/lmssbx10.pfb></usr/share/texmf/fonts/type1/
public/lm/lmsso10.pfb></usr/share/texmf/fonts/type1/public/lm/lmsso9.pfb></usr/
share/texmf/fonts/type1/public/lm/lmsy10.pfb>
Output written on presentation.pdf (169 pages, 895278 bytes).
Transcript written on presentation.log.
+ md5sum PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux presentation.aux presentation.snm presentation.nav presentation.toc
+ cmp autoloop.old autoloop.new
+ rm -f autoloop.old
+ rm -f autoloop.new
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
	rm -f debian/yosys-doc.debhelper.log
   debian/rules override_dh_auto_test-arch
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_auto_test -- PATH="$PWD:$PATH"
	make -j16 test PATH=/<<PKGBUILDDIR>>:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games
make[2]: Entering directory '/<<PKGBUILDDIR>>'
[Makefile.conf] CONFIG := gcc
[Makefile.conf] ABCPULL=0
[Makefile.conf] STRIP=:
cd tests/simple && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/simple'
+ gcc -Wall -g -O2 -ffile-prefix-map=/<<PKGBUILDDIR>>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -o /<<PKGBUILDDIR>>/tests/tools/cmp_tbdata /<<PKGBUILDDIR>>/tests/tools/cmp_tbdata.c
Test: case_expr_extend -> ok
Test: matching_end_labels -> ok
Test: unnamed_block_decl -> ok
Test: local_loop_var -> ok
Test: case_expr_query -> ok
Test: memwr_port_connection -> ok
Test: always02 -> ok
Test: always01 -> ok
Test: lesser_size_cast -> ok
Test: implicit_ports -> ok
Test: arrays02 -> ok
Test: aes_kexp128 -> ok
Test: always03 -> ok
Test: defvalue -> ok
Test: attrib01_module -> ok
Test: case_expr_const -> ok
Test: arrays01 -> ok
Test: attrib02_port_decl -> ok
Test: macro_arg_spaces -> ok
Test: case_expr_non_const -> ok
Test: arraycells -> ok
Test: attrib08_mod_inst -> ok
Test: attrib04_net_var -> ok
Test: attrib03_parameter -> ok
Test: carryadd -> ok
Test: const_branch_finish -> ok
Test: attrib09_case -> ok
Test: attrib06_operator_suffix -> ok
Test: constpower -> ok
Test: const_fold_func -> ok
Test: forgen01 -> ok
Test: forgen02 -> ok
Test: func_recurse -> ok
Test: genblk_collide -> ok
Test: fiedler-cooley -> ok
Test: genblk_dive -> ok
Test: forloops -> ok
Test: dff_init -> ok
Test: genblk_order -> ok
Test: const_func_shadow -> ok
Test: genblk_port_shadow -> ok
Test: func_block -> ok
Test: fsm -> ok
Test: ifdef_1 -> ok
Test: hierarchy -> ok
Test: ifdef_2 -> ok
Test: graphtest -> ok
Test: localparam_attr -> ok
Test: func_width_scope -> ok
Test: loop_prefix_case -> ok
Test: loop_var_shadow -> ok
Test: macro_arg_surrounding_spaces -> ok
Test: dff_different_styles -> ok
Test: hierdefparam -> ok
Test: module_scope_case -> ok
Test: mem2reg_bounds_tern -> ok
Test: macros -> ok
Test: i2c_master_tests -> ok
Test: module_scope -> ok
Test: loops -> ok
Test: named_genblk -> ok
Test: module_scope_func -> ok
Test: nested_genblk_resolve -> ok
Test: asgn_binop -> ok
Test: omsp_dbg_uart -> ok
Test: param_attr -> ok
Test: retime -> ok
Test: muxtree -> ok
Test: realexpr -> ok
Test: mem_arst -> ok
Test: constmuldivmod -> ok
Test: multiplier -> ok
Test: paramods -> ok
Test: dynslice -> ok
Test: repwhile -> ok
Test: signed_full_slice -> ok
Test: mem2reg -> ok
Test: specify -> ok
Test: signedexpr -> ok
Test: process -> ok
Test: string_format -> ok
Test: scopes -> ok
Test: undef_eqx_nex -> ok
Test: verilog_primitives -> ok
Test: usb_phy_tests -> ok
Test: subbytes -> ok
Test: values -> ok
Test: wandwor -> ok
Test: generate -> ok
Test: wreduce -> ok
Test: vloghammer -> ok
Test: task_func -> ok
Test: sincos -> ok
Test: partsel -> ok
Test: operators -> ok
Test: rotate -> ok
Test: memory -> ok
Test: case_large -> ok
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/simple'
cd tests/simple_abc9 && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/simple_abc9'
Test: case_expr_const -> ok
Test: arrays01 -> ok
Test: always02 -> ok
Test: always01 -> ok
Test: always03 -> ok
Test: attrib01_module -> ok
Test: aes_kexp128 -> ok
Test: attrib08_mod_inst -> ok
Test: attrib02_port_decl -> ok
Test: carryadd -> ok
Test: attrib09_case -> ok
Test: attrib04_net_var -> ok
Test: arraycells -> ok
Test: const_branch_finish -> ok
Test: attrib06_operator_suffix -> ok
Test: case_expr_non_const -> ok
Test: attrib03_parameter -> ok
Test: const_fold_func -> ok
Test: constpower -> ok
Test: forgen01 -> ok
Test: forgen02 -> ok
Test: func_recurse -> ok
Test: func_block -> ok
Test: dff_init -> ok
Test: forloops -> ok
Test: fiedler-cooley -> ok
Test: genblk_collide -> ok
Test: fsm -> ok
Test: genblk_dive -> ok
Test: genblk_order -> ok
Test: genblk_port_shadow -> ok
Test: func_width_scope -> ok
Test: graphtest -> ok
Test: ifdef_1 -> ok
Test: const_func_shadow -> ok
Test: hierarchy -> ok
Test: dff_different_styles -> ok
Test: localparam_attr -> ok
Test: ifdef_2 -> ok
Test: loop_prefix_case -> ok
Test: loop_var_shadow -> ok
Test: macro_arg_surrounding_spaces -> ok
Test: i2c_master_tests -> ok
Test: module_scope_case -> ok
Test: macros -> ok
Test: module_scope_func -> ok
Test: hierdefparam -> ok
Test: loops -> ok
Test: mem2reg_bounds_tern -> ok
Test: named_genblk -> ok
Test: nested_genblk_resolve -> ok
Test: mem_arst -> ok
Test: module_scope -> ok
Test: param_attr -> ok
Test: omsp_dbg_uart -> ok
Test: muxtree -> ok
Test: retime -> ok
Test: mem2reg -> ok
Test: multiplier -> ok
Test: realexpr -> ok
Test: repwhile -> ok
Test: process -> ok
Test: paramods -> ok
Test: string_format -> ok
Test: signedexpr -> ok
Test: scopes -> ok
Test: signed_full_slice -> ok
Test: undef_eqx_nex -> ok
Test: subbytes -> ok
Test: usb_phy_tests -> ok
Test: verilog_primitives -> ok
Test: constmuldivmod -> ok
Test: abc9 -> ok
Test: arrays02 -> ok
Test: values -> ok
Test: generate -> ok
Test: case_expr_extend -> ok
Test: case_expr_query -> ok
Test: wandwor -> ok
Test: vloghammer -> ok
Test: task_func -> ok
Test: lesser_size_cast -> ok
Test: local_loop_var -> ok
Test: implicit_ports -> ok
Test: matching_end_labels -> ok
Test: defvalue -> ok
Test: unnamed_block_decl -> ok
Test: memwr_port_connection -> ok
Test: rotate -> ok
Test: macro_arg_spaces -> ok
Test: wreduce -> ok
Test: asgn_binop -> ok
Test: sincos -> ok
Test: memory -> ok
Test: dynslice -> ok
Test: partsel -> ok
Test: operators -> ok
Test: case_large -> ok
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/simple_abc9'
cd tests/hana && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/hana'
Test: test_simulation_buffer -> ok
Test: test_simulation_seq -> ok
Test: test_simulation_nor -> ok
Test: test_parse2synthtrans -> ok
Test: test_simulation_nand -> ok
Test: test_simulation_vlib -> ok
Test: test_simulation_or -> ok
Test: test_simulation_and -> ok
Test: test_simulation_inc -> ok
Test: test_parser -> ok
Test: test_simulation_sop -> ok
Test: test_simulation_xnor -> ok
Test: test_simulation_xor -> ok
Test: test_simulation_decoder -> ok
Test: test_simulation_always -> ok
Test: test_simulation_techmap -> ok
Test: test_simulation_mux -> ok
Test: test_simulation_shifter -> ok
Test: test_simulation_techmap_tech -> ok
Test: test_intermout -> ok
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/hana'
cd tests/asicworld && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/asicworld'
Test: code_hdl_models_dff_async_reset -> ok
Test: code_hdl_models_d_ff_gates -> ok
Test: code_hdl_models_decoder_2to4_gates -> ok
Test: code_hdl_models_d_latch_gates -> ok
Test: code_hdl_models_clk_div -> ok
Test: code_hdl_models_encoder_4to2_gates -> ok
Test: code_hdl_models_dff_sync_reset -> ok
Test: code_hdl_models_full_adder_gates -> ok
Test: code_hdl_models_GrayCounter -> ok
Test: code_hdl_models_decoder_using_assign -> ok
Test: code_hdl_models_decoder_using_case -> ok
Test: code_hdl_models_arbiter -> ok
Test: code_hdl_models_encoder_using_case -> ok
Test: code_hdl_models_encoder_using_if -> ok
Test: code_hdl_models_clk_div_45 -> ok
Test: code_hdl_models_half_adder_gates -> ok
Test: code_hdl_models_full_subtracter_gates -> ok
Test: code_hdl_models_mux_2to1_gates -> ok
Test: code_hdl_models_lfsr -> ok
Test: code_hdl_models_mux_using_case -> ok
Test: code_hdl_models_gray_counter -> ok
Test: code_hdl_models_mux_using_assign -> ok
Test: code_hdl_models_mux_using_if -> ok
Test: code_hdl_models_one_hot_cnt -> ok
Test: code_hdl_models_lfsr_updown -> ok
Test: code_hdl_models_parity_using_assign -> ok
Test: code_hdl_models_parity_using_bitwise -> ok
Test: code_hdl_models_parity_using_function -> ok
Test: code_hdl_models_parallel_crc -> ok
Test: code_hdl_models_rom_using_case -> ok
Test: code_hdl_models_tff_async_reset -> ok
Test: code_hdl_models_tff_sync_reset -> ok
Test: code_hdl_models_up_counter -> ok
Test: code_tidbits_asyn_reset -> ok
Test: code_hdl_models_serial_crc -> ok
Test: code_tidbits_blocking -> ok
Test: code_hdl_models_pri_encoder_using_assign -> ok
Test: code_hdl_models_up_counter_load -> ok
Test: code_tidbits_nonblocking -> ok
Test: code_tidbits_reg_combo_example -> ok
Test: code_tidbits_fsm_using_always -> ok
Test: code_tidbits_reg_seq_example -> ok
Test: code_verilog_tutorial_always_example -> ok
Test: code_tidbits_fsm_using_function -> ok
Test: code_tidbits_wire_example -> ok
Test: code_hdl_models_up_down_counter -> ok
Test: code_tidbits_syn_reset -> ok
Test: code_verilog_tutorial_bus_con -> ok
Test: code_verilog_tutorial_addbit -> ok
Test: code_verilog_tutorial_comment -> ok
Test: code_tidbits_fsm_using_single_always -> ok
Test: code_specman_switch_fabric -> ok
Test: code_verilog_tutorial_counter -> ok
Test: code_verilog_tutorial_escape_id -> ok
Test: code_verilog_tutorial_d_ff -> ok
Test: code_verilog_tutorial_if_else -> ok
Test: code_verilog_tutorial_first_counter -> ok
Test: code_verilog_tutorial_decoder_always -> ok
Test: code_verilog_tutorial_good_code -> ok
Test: code_verilog_tutorial_n_out_primitive -> ok
Test: code_verilog_tutorial_decoder -> ok
Test: code_verilog_tutorial_flip_flop -> ok
Test: code_verilog_tutorial_multiply -> ok
Test: code_verilog_tutorial_parallel_if -> ok
Test: code_verilog_tutorial_explicit -> ok
Test: code_verilog_tutorial_simple_function -> ok
Test: code_verilog_tutorial_mux_21 -> ok
Test: code_verilog_tutorial_simple_if -> ok
Test: code_verilog_tutorial_task_global -> ok
Test: code_verilog_tutorial_v2k_reg -> ok
Test: code_verilog_tutorial_parity -> ok
Test: code_verilog_tutorial_which_clock -> ok
Test: code_verilog_tutorial_tri_buf -> ok
Test: code_verilog_tutorial_fsm_full -> ok
Test: code_hdl_models_uart -> ok
Test: code_hdl_models_cam -> ok
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/asicworld'
# +cd tests/realmath && bash run-test.sh ""
cd tests/share && bash run-test.sh ""
generating tests..
running tests..
[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99]
cd tests/opt_share && bash run-test.sh ""
generating tests..
running tests..
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/opt_share'
[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99]make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/opt_share'

cd tests/fsm && bash run-test.sh ""
generating tests..
PRNG seed: 7833280044336232272
running tests..
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/fsm'
[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[16]K[17]KWarning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
[18]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[19]K[20]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[21]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[22]K[23]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[24]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[25]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[26]KK[27][28]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[29]K[30]K[31]K[32]K[33]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[34]K[35]K[36]K[37]K[38]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[39]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[40]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[41]K[42]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[43]KK[44][45]K[46]K[47]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[48]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
K[49]Warning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
KKWarning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
KKKKKKKKKKWarning: Regarding the user-specified fsm_encoding attribute on gate.state:
    Users of state reg look like FSM recoding might result in larger circuit.
    Doesn't look like a proper FSM. Possible simulation-synthesis mismatch!
KKKT
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/fsm'
cd tests/techmap && bash run-test.sh
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/techmap'
Warning: wire '\Q' is assigned in a block at <<EOT:9.5-9.11.
Warning: wire '\Q' is assigned in a block at <<EOT:11.5-11.11.
Warning: Resizing cell port top.s0.f.j from 2 bits to 1 bits.
Warning: wire '\Q' is assigned in a block at <<EOT:8.3-8.9.
Passed bug2183.ys
Passed adff2dff.ys
Passed autopurge.ys
Passed bug2321.ys
Passed cellname.ys
Passed dffinit.ys
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff3
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff1_.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff1_.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff1_.ff0
Passed dff2ff.ys
Passed bmuxmap_pmux.ys
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff1
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff2
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff0
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff3
Passed bug2332.ys
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff4
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff0
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff0
Passed clkbufmap.ys
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff4
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff4
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff0
Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff0
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff0
Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff0
Passed dfflegalize_adlatch.ys
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff1
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff2
Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff3
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff0
Passed dfflegalize_dlatch.ys
Passed bug2759.ys
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff3
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff2
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff1
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff0
Passed dfflegalize_aldff.ys
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff1
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff2
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff3
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff0
Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff0
Passed dfflegalize_dlatch_const.ys
Passed dfflegalize_dlatchsr.ys
Passed dfflegalize_dlatch_init.ys
Passed bug2972.ys
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff2
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff1
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff0
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff2
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff1
Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff0
Passed dfflegalize_adlatch_init.ys
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff1 [$_SR_PN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff2 [$_SR_NP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff0 [$_SR_PP_].
Passed dfflegalize_minsrst.ys
Warning: Complex async reset for dff `\Q'.
Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff4
Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff0
Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff0
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff1 [$_SR_PN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff2 [$_SR_NP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff0 [$_SR_PP_].
Passed dfflegalize_dffsr.ys
Passed dfflegalize_aldff_init.ys
Passed dfflegalize_sr.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:23)
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:29)
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:35)
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:58)
Passed extractinv.ys
Passed dfflegalize_mince.ys
Passed pmux2mux.ys
Passed dfflegalize_adff.ys
Passed aigmap.ys
Passed techmap_replace.ys
Passed dfflibmap.ys
Passed recursive_runtest.sh
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:14)
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:18)
Passed wireinit.ys
Passed iopadmap.ys
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff1 [$_DLATCHSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff2 [$_DLATCHSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff3 [$_DLATCHSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff0 [$_DLATCHSR_PPP_].
Passed dffunmap.ys
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff1 [$_DLATCHSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff2 [$_DLATCHSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff3 [$_DLATCHSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff0 [$_DLATCHSR_PPP_].
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff3
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff2
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff1
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff0
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff3
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff2
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff1
Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff0
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff3 [$_DLATCHSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff2 [$_DLATCHSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff1 [$_DLATCHSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff0 [$_DLATCHSR_PPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff3 [$_DLATCHSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff2 [$_DLATCHSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff1 [$_DLATCHSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff0 [$_DLATCHSR_PPP_].
Passed dfflegalize_dlatchsr_init.ys
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff2 [$_SR_NP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff1 [$_SR_PN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff0 [$_SR_PP_].
Passed zinit.ys
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr0.ff2 [$_SR_NP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr0.ff1 [$_SR_PN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize sr0.ff0 [$_SR_PP_].
Passed dfflegalize_sr_init.ys
Passed mem_simple_4x1_runtest.sh
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff3
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff1.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff1.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff1.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff3
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff0
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff2
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff1
Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff0
Passed dfflegalize_inv.ys
Passed dfflegalize_adff_init.ys
Passed shiftx2mux.ys
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff1 [$_DFFSRE_PPPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff2 [$_DFFSRE_PPNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff3 [$_DFFSRE_PNPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff4 [$_DFFSRE_NPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff1 [$_DFFSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff2 [$_DFFSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff3 [$_DFFSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff0 [$_DFFSRE_PPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff0 [$_DFFSR_PPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff1 [$_DFFSRE_PPPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff2 [$_DFFSRE_PPNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff3 [$_DFFSRE_PNPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff4 [$_DFFSRE_NPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff1 [$_DFFSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff2 [$_DFFSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff3 [$_DFFSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff0 [$_DFFSRE_PPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff0 [$_DFFSR_PPP_].
Passed dfflegalize_dff.ys
Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff4
Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff0
Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff4
Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff0
Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff0
Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff3
Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff2
Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff1
Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff0
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff4 [$_DFFSRE_NPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff3 [$_DFFSRE_PNPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff2 [$_DFFSRE_PPNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff1 [$_DFFSRE_PPPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff0 [$_DFFSRE_PPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff3 [$_DFFSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff2 [$_DFFSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff1 [$_DFFSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff0 [$_DFFSR_PPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff4 [$_DFFSRE_NPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff3 [$_DFFSRE_PNPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff2 [$_DFFSRE_PPNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff1 [$_DFFSRE_PPPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff0 [$_DFFSRE_PPPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff3 [$_DFFSR_NPP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff2 [$_DFFSR_PNP_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff1 [$_DFFSR_PPN_].
Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff0 [$_DFFSR_PPP_].
Passed dfflegalize_dffsr_init.ys
Passed cmp2lcu.ys
Passed abc9.ys
Passed dfflegalize_dff_init.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/techmap'
cd tests/memories && bash run-test.sh "" ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/memories'
Test: implicit_en -> ok
Test: firrtl_938 -> ok
Test: no_implicit_en -> ok
Test: simple_sram_byte_en -> ok
Test: shared_ports -> ok
Test: wide_read_async -> ok
Test: wide_all -> ok
Test: read_arst -> ok
Test: trans_addr_enable -> ok
Test: read_two_mux -> ok
Test: trans_sdp -> ok
Test: trans_sp -> ok
Test: wide_read_mixed -> ok
Test: issue00710 -> ok
Test: amber23_sram_byte_en -> ok
Test: wide_thru_priority -> ok
Test: wide_read_sync -> ok
Test: wide_read_trans -> ok
Test: wide_write -> ok
Test: issue00335 -> ok
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/memories'
Testing expectations for amber23_sram_byte_en.v .. ok.
Testing expectations for implicit_en.v .. ok.
Testing expectations for issue00335.v .. ok.
Testing expectations for issue00710.v .. ok.
Testing expectations for no_implicit_en.v .. ok.
Testing expectations for read_arst.v .. ok.
Testing expectations for read_two_mux.v .. ok.
Testing expectations for shared_ports.v .. ok.
Testing expectations for simple_sram_byte_en.v .. ok.
Testing expectations for trans_addr_enable.v .. ok.
Testing expectations for trans_sdp.v .. ok.
Testing expectations for trans_sp.v .. ok.
Testing expectations for wide_all.v .. ok.
Testing expectations for wide_read_async.v .. ok.
Testing expectations for wide_read_mixed.v .. ok.
Testing expectations for wide_read_sync.v .. ok.
Testing expectations for wide_read_trans.v .. ok.
Testing expectations for wide_thru_priority.v .. ok.
Testing expectations for wide_write.v .. ok.
cd tests/memlib && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/memlib'
Test: t_sync_small_block -> ok
Test: t_async_small -> ok
Test: t_init_lut_zeros_zero -> ok
Test: t_init_lut_val_no_undef -> ok
Test: t_init_lut_zeros_any -> ok
Test: t_init_lut_val_any -> ok
Test: t_sync_small -> ok
Test: t_init_lut_val_zero -> ok
Test: t_sync_small_block_attr -> ok
Test: t_sync_big_sdp -> ok
Test: t_sync_big -> ok
Test: t_init_lut_val2_any -> ok
Test: t_async_small_block -> ok
Test: t_init_lut_x_zero -> ok
Test: t_init_lut_x_none -> ok
Test: t_ram_4b1B -> ok
Test: t_ram_18b2B -> ok
Test: t_init_lut_x_any -> ok
Test: t_init_lut_x_no_undef -> ok
Test: t_ram_2b1B -> ok
Test: t_init_lut_val2_no_undef -> ok
Test: t_ram_1b1B -> ok
Test: t_ram_9b1B -> ok
Test: t_init_9b1B_zeros_zero -> ok
Test: t_init_9b1B_zeros_any -> ok
Test: t_init_9b1B_val_zero -> ok
Test: t_init_9b1B_val_no_undef -> ok
Test: t_init_13b2B_val_any -> ok
Test: t_init_9b1B_val_any -> ok
Test: t_init_18b2B_val_any -> ok
Test: t_init_18b2B_val_no_undef -> ok
Test: t_init_4b1B_x_none -> ok
Test: t_clock_a4_wANYrNEGsFalse -> ok
Test: t_clock_a4_wANYrANYsFalse -> ok
Test: t_init_4b1B_x_no_undef -> ok
Test: t_init_4b1B_x_zero -> ok
Test: t_init_4b1B_x_any -> ok
Test: t_clock_a4_wANYrPOSsFalse -> ok
Test: t_clock_a4_wNEGrANYsFalse -> ok
Test: t_clock_a4_wNEGrPOSsFalse -> ok
Test: t_clock_a4_wNEGrNEGsFalse -> ok
Test: t_clock_a4_wPOSrANYsFalse -> ok
Test: t_clock_a4_wANYrANYsTrue -> ok
Test: t_clock_a4_wPOSrNEGsFalse -> ok
Test: t_clock_a4_wPOSrPOSsFalse -> ok
Test: t_clock_a4_wNEGrNEGsTrue -> ok
Test: t_clock_a4_wPOSrPOSsTrue -> ok
Test: t_clock_a4_wNEGrPOSsTrue -> ok
Test: t_unmixed -> ok
Test: t_mixed_9_18 -> ok
Test: t_clock_a4_wPOSrNEGsTrue -> ok
Test: t_mixed_18_9 -> ok
Test: t_mixed_4_2 -> ok
Test: t_sync_shared -> ok
Test: t_mixed_36_9 -> ok
Test: t_tdp -> ok
Test: t_sync_trans_old_new -> ok
Test: t_sync_trans_old_old -> ok
Test: t_sync_2clk -> ok
Test: t_sync_trans_old_none -> ok
Test: t_sync_trans_new_none -> ok
Test: t_sync_trans_new_old -> ok
Test: t_sp_nc_none -> ok
Test: t_sync_trans_new_new -> ok
Test: t_sync_2clk_shared -> ok
Test: t_sp_new_none -> ok
Test: t_sp_nc_nc -> ok
Test: t_sp_nc_new -> ok
Test: t_sp_old_none -> ok
Test: t_sp_new_new -> ok
Test: t_sp_old_nc -> ok
Test: t_sp_new_nc -> ok
Test: t_sp_new_old -> ok
Test: t_sp_nc_old -> ok
Test: t_sp_old_new -> ok
Test: t_sp_old_old -> ok
Test: t_sp_new_new_only -> ok
Test: t_sp_nc_new_only -> ok
Test: t_sp_old_new_only -> ok
Test: t_sp_nc_new_only_be -> ok
Test: t_sp_new_new_be -> ok
Test: t_sp_new_new_only_be -> ok
Test: t_sp_old_new_only_be -> ok
Test: t_sp_new_old_be -> ok
Test: t_sp_nc_new_be -> ok
Test: t_sp_nc_old_be -> ok
Test: t_sp_old_new_be -> ok
Test: t_sp_new_nc_be -> ok
Test: t_sp_old_old_be -> ok
Test: t_sp_nc_nc_be -> ok
Test: t_sp_old_nc_be -> ok
Test: t_sp_nc_auto -> ok
Test: t_sp_new_auto -> ok
Test: t_sp_new_auto_be -> ok
Test: t_sp_old_auto -> ok
Test: t_sp_nc_auto_be -> ok
Test: t_sp_old_auto_be -> ok
Test: t_sp_init_x_x -> ok
Test: t_sp_init_x_x_re -> ok
Test: t_sp_init_0_x -> ok
Test: t_sp_init_x_x_ce -> ok
Test: t_sp_init_0_x_re -> ok
Test: t_sp_init_0_0 -> ok
Test: t_sp_init_0_any -> ok
Test: t_sp_init_0_0_re -> ok
Test: t_sync_big_lut -> ok
Test: t_async_big -> ok
Test: t_sp_init_v_x -> ok
Test: t_sp_init_0_any_re -> ok
Test: t_sp_init_v_x_re -> ok
Test: t_sp_init_v_0_re -> ok
Test: t_sp_init_v_0 -> ok
Test: t_sp_init_v_any -> ok
Test: t_sp_init_v_any_re -> ok
Test: t_sp_arst_0_x -> ok
Test: t_sp_arst_x_x_re -> ok
Test: t_sp_arst_x_x -> ok
Test: t_sp_arst_0_x_re -> ok
Test: t_sp_arst_0_0 -> ok
Test: t_sp_arst_0_0_re -> ok
Test: t_sp_arst_0_any_re -> ok
Test: t_sp_arst_0_any -> ok
Test: t_sp_arst_0_init -> ok
Test: t_sp_arst_0_init_re -> ok
Test: t_sp_arst_v_x -> ok
Test: t_sp_arst_v_x_re -> ok
Test: t_sp_arst_v_0_re -> ok
Test: t_sp_arst_v_any -> ok
Test: t_sp_arst_v_0 -> ok
Test: t_sp_arst_v_any_re -> ok
Test: t_sp_arst_v_init -> ok
Test: t_sp_arst_v_init_re -> ok
Test: t_sp_arst_e_x -> ok
Test: t_sp_arst_e_0 -> ok
Test: t_sp_arst_e_x_re -> ok
Test: t_sp_arst_e_any -> ok
Test: t_sp_arst_e_0_re -> ok
Test: t_sp_arst_e_any_re -> ok
Test: t_sp_arst_e_init -> ok
Test: t_sp_arst_n_x -> ok
Test: t_sp_arst_e_init_re -> ok
Test: t_sp_arst_n_x_re -> ok
Test: t_sp_arst_n_any -> ok
Test: t_sp_arst_n_0_re -> ok
Test: t_sp_arst_n_0 -> ok
Test: t_sp_arst_n_any_re -> ok
Test: t_sp_arst_n_init -> ok
Test: t_sp_arst_n_init_re -> ok
Test: t_sp_srst_x_x_re -> ok
Test: t_sp_srst_0_x -> ok
Test: t_sp_srst_x_x -> ok
Test: t_sp_srst_0_x_re -> ok
Test: t_sp_srst_0_0 -> ok
Test: t_sp_srst_0_0_re -> ok
Test: t_sp_srst_0_any -> ok
Test: t_sp_srst_0_any_re -> ok
Test: t_sp_srst_0_init -> ok
Test: t_sp_srst_0_init_re -> ok
Test: t_sp_srst_v_x -> ok
Test: t_sp_srst_v_0 -> ok
Test: t_sp_srst_v_x_re -> ok
Test: t_sp_srst_v_0_re -> ok
Test: t_sp_srst_v_any -> ok
Test: t_sp_srst_v_any_re -> ok
Test: t_sp_srst_v_any_re_gated -> ok
Test: t_sp_srst_v_any_ce -> ok
Test: t_sp_srst_v_any_ce_gated -> ok
Test: t_sp_srst_v_init -> ok
Test: t_sp_srst_v_init_re -> ok
Test: t_sp_srst_e_x -> ok
Test: t_sp_srst_e_0_re -> ok
Test: t_sp_srst_e_x_re -> ok
Test: t_sp_srst_e_0 -> ok
Test: t_sp_srst_e_any -> ok
Test: t_sp_srst_e_any_re -> ok
Test: t_sp_srst_e_init -> ok
Test: t_sp_srst_e_init_re -> ok
Test: t_sp_srst_n_x -> ok
Test: t_sp_srst_n_x_re -> ok
Test: t_sp_srst_n_0_re -> ok
Test: t_sp_srst_n_0 -> ok
Test: t_sp_srst_n_any -> ok
Test: t_sp_srst_n_any_re -> ok
Test: t_sp_srst_n_init -> ok
Test: t_sp_srst_n_init_re -> ok
Test: t_sp_srst_gv_x -> ok
Test: t_sp_srst_gv_0 -> ok
Test: t_sp_srst_gv_0_re -> ok
Test: t_sp_srst_gv_x_re -> ok
Test: t_sp_srst_gv_any -> ok
Test: t_sp_srst_gv_any_re_gated -> ok
Test: t_sp_srst_gv_any_re -> ok
Test: t_wren_a4d4_NO_BYTE -> ok
Test: t_sp_srst_gv_any_ce -> ok
Test: t_sp_srst_gv_any_ce_gated -> ok
Test: t_sp_srst_gv_init -> ok
Test: t_wren_a5d4_NO_BYTE -> ok
Test: t_sp_srst_gv_init_re -> ok
Test: t_wren_a6d4_NO_BYTE -> ok
Test: t_wren_a3d8_NO_BYTE -> ok
Test: t_wren_a4d8_W4_B4_separate -> ok
Test: t_wren_a4d8_NO_BYTE -> ok
Test: t_wren_a4d4_W4_B4 -> ok
Test: t_wren_a4d8_W8_B4 -> ok
Test: t_wren_a4d8_W8_B4_separate -> ok
Test: t_wren_a4d8_W8_B8 -> ok
Test: t_wren_a4d8_W8_B8_separate -> ok
Test: t_wren_a4d2w8_W16_B4 -> ok
Test: t_wren_a4d4w4_W16_B4 -> ok
Test: t_async_big_block -> ok
Test: t_wren_a4d4w4_W16_B4_separate -> ok
Test: t_wren_a4d2w8_W16_B4_separate -> ok
Test: t_wren_a5d4w2_W16_B4 -> ok
Test: t_wren_a5d4w2_W16_B4_separate -> ok
Test: t_wren_a5d4w4_W16_B4 -> ok
Test: t_wren_a5d4w4_W16_B4_separate -> ok
Test: t_wren_a4d8w2_W16_B4 -> ok
Test: t_wren_a4d8w2_W16_B4_separate -> ok
Test: t_wren_a5d8w1_W16_B4 -> ok
Test: t_wren_a5d8w1_W16_B4_separate -> ok
Test: t_wren_a5d8w2_W16_B4_separate -> ok
Test: t_wren_a5d8w2_W16_B4 -> ok
Test: t_wren_a4d16w1_W16_B4 -> ok
Test: t_wren_a4d4w1_W8_B8 -> ok
Test: t_wren_a4d4w2_W8_B8_separate -> ok
Test: t_wren_a4d4w2_W8_B8 -> ok
Test: t_wren_a4d4w1_W8_B8_separate -> ok
Test: t_wren_a4d8w2_W8_B8 -> ok
Test: t_wren_a4d16w1_W16_B4_separate -> ok
Test: t_wren_a4d8w2_W8_B8_separate -> ok
Test: t_wren_a3d8w2_W8_B8 -> ok
Test: t_wren_a4d4w2_W8_B4 -> ok
Test: t_wren_a3d8w2_W8_B8_separate -> ok
Test: t_wren_a4d2w4_W8_B4 -> ok
Test: t_wren_a4d4w2_W8_B4_separate -> ok
Test: t_wren_a4d2w4_W8_B4_separate -> ok
Test: t_wren_a4d4w4_W8_B4 -> ok
Test: t_wren_a4d4w4_W4_B4 -> ok
Test: t_wren_a4d4w4_W8_B4_separate -> ok
Test: t_geom_a5d32_wren -> ok
Test: t_geom_a4d64_wren -> ok
Test: t_geom_a5d64_wren -> ok
Test: t_wren_a4d4w4_W4_B4_separate -> ok
Test: t_geom_a6d16_wren -> ok
Test: t_wren_a4d4w5_W4_B4_separate -> ok
Test: t_wren_a4d4w5_W4_B4 -> ok
Test: t_geom_a6d30_wren -> ok
Test: t_geom_a7d4_wren -> ok
Test: t_geom_a7d6_wren -> ok
Test: t_geom_a7d8_wren -> ok
Test: t_geom_a6d64_wren -> ok
Test: t_geom_a8d6_wren -> ok
Test: t_geom_a8d4_wren -> ok
Test: t_geom_a7d17_wren -> ok
Test: t_geom_a9d4_wren -> ok
Test: t_geom_a3d18_9b1B -> ok
Test: t_geom_a4d4_9b1B -> ok
Test: t_geom_a9d8_wren -> ok
Test: t_geom_a9d5_wren -> ok
Test: t_geom_a4d18_9b1B -> ok
Test: t_geom_a5d32_9b1B -> ok
Test: t_geom_a9d6_wren -> ok
Test: t_geom_a7d11_9b1B -> ok
Test: t_geom_a6d4_9b1B -> ok
Test: t_wide_sdp_a6r1w1b1x1 -> ok
Test: t_geom_a11d1_9b1B -> ok
Test: t_geom_a7d18_9b1B -> ok
Test: t_wide_sdp_a6r0w0b0x0 -> ok
Test: t_wide_sdp_a7r1w1b1x1 -> ok
Test: t_wide_sdp_a8r1w1b1x1 -> ok
Test: t_wide_sdp_a6r1w0b0x0 -> ok
Test: t_wide_sdp_a6r2w0b0x0 -> ok
Test: t_wide_sdp_a6r0w1b0x0 -> ok
Test: t_wide_sdp_a6r3w0b0x0 -> ok
Test: t_wide_sdp_a6r4w0b0x0 -> ok
Test: t_wide_sdp_a6r0w2b2x0 -> ok
Test: t_wide_sdp_a6r0w1b1x0 -> ok
Test: t_wide_sdp_a6r0w2b0x0 -> ok
Test: t_wide_sdp_a6r5w0b0x0 -> ok
Test: t_wide_sdp_a6r0w3b2x0 -> ok
Test: t_wide_sdp_a7r0w0b0x0 -> ok
Test: t_wide_sdp_a7r1w0b0x0 -> ok
Test: t_wide_sdp_a7r2w0b0x0 -> ok
Test: t_wide_sdp_a7r3w0b0x0 -> ok
Test: t_wide_sdp_a6r0w4b2x0 -> ok
Test: t_wide_sdp_a7r4w0b0x0 -> ok
Test: t_wide_sdp_a7r0w1b0x0 -> ok
Test: t_wide_sdp_a7r0w1b1x0 -> ok
Test: t_wide_sdp_a7r0w2b0x0 -> ok
Test: t_wide_sdp_a6r0w5b2x0 -> ok
Test: t_wide_sdp_a7r0w2b2x0 -> ok
Test: t_wide_sp_mix_a6r1w1b1 -> ok
Test: t_wide_sdp_a7r5w0b0x0 -> ok
Test: t_wide_sdp_a7r0w3b2x0 -> ok
Test: t_wide_sp_mix_a7r1w1b1 -> ok
Test: t_wide_sp_mix_a8r1w1b1 -> ok
Test: t_wide_sp_mix_a6r0w0b0 -> ok
Test: t_wide_sp_mix_a6r1w0b0 -> ok
Test: t_wide_sdp_a7r0w4b2x0 -> ok
Test: t_wide_sp_mix_a6r2w0b0 -> ok
Test: t_wide_sp_mix_a6r3w0b0 -> ok
Test: t_wide_sdp_a7r0w5b2x0 -> ok
Test: t_wide_sp_mix_a6r0w1b0 -> ok
Test: t_wide_sp_mix_a6r4w0b0 -> ok
Test: t_wide_sp_mix_a6r0w1b1 -> ok
Test: t_wide_sp_mix_a6r0w2b2 -> ok
Test: t_wide_sp_mix_a6r5w0b0 -> ok
Test: t_wide_sp_mix_a6r0w2b0 -> ok
Test: t_wide_sp_mix_a6r0w3b2 -> ok
Test: t_wide_sp_mix_a7r0w0b0 -> ok
Test: t_wide_sp_mix_a7r1w0b0 -> ok
Test: t_wide_sp_mix_a7r2w0b0 -> ok
Test: t_wide_sp_mix_a7r3w0b0 -> ok
Test: t_wide_sp_mix_a6r0w4b2 -> ok
Test: t_wide_sp_mix_a7r4w0b0 -> ok
Test: t_wide_sp_mix_a7r0w1b1 -> ok
Test: t_wide_sp_mix_a7r0w1b0 -> ok
Test: t_wide_sp_mix_a7r0w2b0 -> ok
Test: t_wide_sp_mix_a7r5w0b0 -> ok
Test: t_wide_sp_mix_a7r0w2b2 -> ok
Test: t_wide_sp_mix_a6r0w5b2 -> ok
Test: t_wide_sp_tied_a6r1w1b1 -> ok
Test: t_wide_sp_tied_a7r1w1b1 -> ok
Test: t_wide_sp_mix_a7r0w3b2 -> ok
Test: t_wide_sp_tied_a8r1w1b1 -> ok
Test: t_wide_sp_tied_a6r0w0b0 -> ok
Test: t_wide_sp_tied_a6r1w0b0 -> ok
Test: t_wide_sp_tied_a6r2w0b0 -> ok
Test: t_wide_sp_mix_a7r0w4b2 -> ok
Test: t_wide_sp_tied_a6r3w0b0 -> ok
Test: t_wide_sp_tied_a6r0w1b1 -> ok
Test: t_wide_sp_tied_a6r0w1b0 -> ok
Test: t_wide_sp_mix_a7r0w5b2 -> ok
Test: t_wide_sp_tied_a6r4w0b0 -> ok
Test: t_wide_sp_tied_a6r0w2b2 -> ok
Test: t_wide_sp_tied_a6r0w2b0 -> ok
Test: t_wide_sp_tied_a7r0w0b0 -> ok
Test: t_wide_sp_tied_a6r0w3b2 -> ok
Test: t_wide_sp_tied_a7r1w0b0 -> ok
Test: t_wide_sp_tied_a6r5w0b0 -> ok
Test: t_wide_sp_tied_a7r2w0b0 -> ok
Test: t_wide_sp_tied_a7r3w0b0 -> ok
Test: t_wide_sp_tied_a6r0w4b2 -> ok
Test: t_wide_sp_tied_a7r4w0b0 -> ok
Test: t_wide_sp_tied_a7r0w1b0 -> ok
Test: t_wide_sp_tied_a7r0w1b1 -> ok
Test: t_wide_sp_tied_a7r0w2b0 -> ok
Test: t_wide_sp_tied_a6r0w5b2 -> ok
Test: t_wide_read_a6r1w1b1 -> ok
Test: t_wide_sp_tied_a7r0w2b2 -> ok
Test: t_wide_read_a7r1w1b1 -> ok
Test: t_wide_write_a6r1w1b1 -> ok
Test: t_wide_sp_tied_a7r5w0b0 -> ok
Test: t_wide_sp_tied_a7r0w3b2 -> ok
Test: t_wide_write_a7r1w1b1 -> ok
Test: t_wide_sp_tied_a7r0w4b2 -> ok
Test: t_wide_read_a6r0w0b0 -> ok
Test: t_wide_read_a8r1w1b1 -> ok
Test: t_wide_write_a8r1w1b1 -> ok
Test: t_wide_read_a6r1w0b0 -> ok
Test: t_wide_write_a6r0w0b0 -> ok
Test: t_wide_write_a6r1w0b0 -> ok
Test: t_wide_read_a6r2w0b0 -> ok
Test: t_wide_write_a6r2w0b0 -> ok
Test: t_wide_sp_tied_a7r0w5b2 -> ok
Test: t_wide_read_a6r3w0b0 -> ok
Test: t_wide_write_a6r3w0b0 -> ok
Test: t_wide_read_a6r4w0b0 -> ok
Test: t_wide_read_a6r0w1b1 -> ok
Test: t_wide_read_a6r0w1b0 -> ok
Test: t_wide_write_a6r0w1b0 -> ok
Test: t_wide_write_a6r0w1b1 -> ok
Test: t_wide_write_a6r4w0b0 -> ok
Test: t_wide_read_a6r5w0b0 -> ok
Test: t_wide_read_a6r0w2b0 -> ok
Test: t_wide_write_a6r0w2b0 -> ok
Test: t_wide_write_a6r5w0b0 -> ok
Test: t_wide_read_a6r0w2b2 -> ok
Test: t_wide_write_a6r0w2b2 -> ok
Test: t_wide_write_a6r0w3b2 -> ok
Test: t_wide_read_a6r0w3b2 -> ok
Test: t_wide_read_a7r0w0b0 -> ok
Test: t_wide_write_a7r0w0b0 -> ok
Test: t_wide_write_a7r1w0b0 -> ok
Test: t_wide_read_a7r1w0b0 -> ok
Test: t_wide_read_a7r2w0b0 -> ok
Test: t_wide_write_a6r0w4b2 -> ok
Test: t_wide_read_a6r0w4b2 -> ok
Test: t_wide_write_a7r2w0b0 -> ok
Test: t_wide_read_a7r3w0b0 -> ok
Test: t_wide_write_a7r3w0b0 -> ok
Test: t_wide_read_a7r4w0b0 -> ok
Test: t_wide_read_a6r0w5b2 -> ok
Test: t_wide_write_a6r0w5b2 -> ok
Test: t_wide_write_a7r4w0b0 -> ok
Test: t_wide_read_a7r0w1b0 -> ok
Test: t_wide_read_a7r0w1b1 -> ok
Test: t_wide_write_a7r0w1b0 -> ok
Test: t_wide_write_a7r0w1b1 -> ok
Test: t_wide_write_a7r0w2b0 -> ok
Test: t_wide_read_a7r0w2b0 -> ok
Test: t_wide_read_a7r5w0b0 -> ok
Test: t_wide_read_a7r0w2b2 -> ok
Test: t_wide_write_a7r0w2b2 -> ok
Test: t_wide_read_a7r0w3b2 -> ok
Test: t_wide_write_a7r5w0b0 -> ok
Test: t_wide_write_a7r0w3b2 -> ok
Test: t_wide_quad_a4w2r1 -> ok
Test: t_wide_oct_a4w2r1 -> ok
Test: t_quad_port_a2d2 -> ok
Test: t_wide_write_a7r0w4b2 -> ok
Test: t_quad_port_a4d4 -> ok
Test: t_quad_port_a4d2 -> ok
Test: t_quad_port_a5d2 -> ok
Test: t_wide_read_a7r0w4b2 -> ok
Test: t_wide_quad_a4w2r2 -> ok
Test: t_quad_port_a4d8 -> ok
Test: t_quad_port_a6d2 -> ok
Test: t_wide_quad_a4w2r3 -> ok
Test: t_wide_oct_a4w2r2 -> ok
Test: t_wide_oct_a4w2r3 -> ok
Test: t_wide_write_a7r0w5b2 -> ok
Test: t_wide_read_a7r0w5b2 -> ok
Test: t_wide_oct_a4w2r4 -> ok
Test: t_wide_oct_a4w2r5 -> ok
Test: t_wide_quad_a4w2r4 -> ok
Test: t_wide_quad_a4w2r5 -> ok
Test: t_wide_quad_a4w2r6 -> ok
Test: t_wide_oct_a4w2r6 -> ok
Test: t_wide_oct_a4w2r7 -> ok
Test: t_wide_quad_a4w2r7 -> ok
Test: t_wide_oct_a4w2r8 -> ok
Test: t_wide_quad_a4w4r1 -> ok
Test: t_wide_quad_a4w2r8 -> ok
Test: t_wide_quad_a4w2r9 -> ok
Test: t_wide_oct_a4w2r9 -> ok
Test: t_wide_oct_a4w4r1 -> ok
Test: t_wide_quad_a4w4r4 -> ok
Test: t_wide_quad_a4w4r6 -> ok
Test: t_wide_oct_a4w4r4 -> ok
Test: t_wide_oct_a5w2r1 -> ok
Test: t_wide_oct_a4w4r6 -> ok
Test: t_wide_quad_a5w2r1 -> ok
Test: t_wide_oct_a5w2r4 -> ok
Test: t_wide_quad_a5w2r4 -> ok
Test: t_wide_quad_a4w4r9 -> ok
Test: t_wide_oct_a4w4r9 -> ok
Test: t_wide_quad_a5w2r9 -> ok
Test: t_gclken -> ok
Test: t_no_reset -> ok
Test: t_wide_oct_a5w2r9 -> ok
Test: t_gclken_ce -> ok
Test: t_grden -> ok
Test: t_ungated -> ok
Test: t_exclwr -> ok
Test: t_trans_rst -> ok
Test: t_transwr -> ok
Test: t_excl_rst -> ok
Test: t_grden_ce -> ok
Test: t_trans_byte -> ok
Test: t_wr_byte -> ok
Test: t_rst_wr_byte -> ok
Test: t_wr_rst_byte -> ok
Test: t_rdenrst_wr_byte -> ok
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/memlib'
cd tests/bram && bash run-test.sh ""
generating tests..
PRNG seed: 701845
running tests..
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/bram'
Passed memory_bram test 01_04.
Passed memory_bram test 01_00.
Passed memory_bram test 03_01.
Passed memory_bram test 02_03.
Passed memory_bram test 03_04.
Passed memory_bram test 01_02.
Passed memory_bram test 02_04.
Passed memory_bram test 02_01.
Passed memory_bram test 00_02.
Passed memory_bram test 02_00.
Passed memory_bram test 00_04.
Passed memory_bram test 00_03.
Passed memory_bram test 00_01.
Passed memory_bram test 01_03.
Passed memory_bram test 03_02.
Passed memory_bram test 04_03.
Passed memory_bram test 04_00.
Passed memory_bram test 04_01.
Passed memory_bram test 03_00.
Passed memory_bram test 04_02.
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/bram'
cd tests/various && bash run-test.sh
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/various'
Passed attrib05_port_conn.ys
Passed autoname.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:3)
<<EOT:3: ERROR: syntax error, unexpected TOK_CONSTVAL
Expected error pattern 'syntax error, unexpected TOK_CONSTVAL' found !!!
Passed attrib07_func_call.ys
Passed blackbox_wb.ys
Passed bug1496.ys
Passed bug1614.ys
Passed aiger_dff.ys
Passed bug1710.ys
Passed bug1745.ys
Passed bug1876.ys
Passed bug3462.ys
<<EOT:2: ERROR: syntax error, unexpected TOK_BASE
Expected error pattern 'syntax error, unexpected TOK_BASE' found !!!
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:3)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:4)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:5)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:6)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:7)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:8)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:9)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:10)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:11)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:12)
ERROR: No saved design 'foo' found!
Expected error pattern 'No saved design 'foo' found!' found !!!
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:13)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:14)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:15)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:52)
Warning: Yosys has only limited support for tri-state logic at the moment. (countbits.sv:53)
Warning: Drivers conflicting with a constant 1'1 driver:
    module input A[0]
Warning: Drivers conflicting with a constant 1'1 driver:
    port Y[0] of cell some_buffer (buffer)
Passed constcomment.ys
Warning: reg '\Q' is assigned in a continuous assignment at <<EOT:5.9-5.14.
Passed bug1781.ys
Passed const_func_block_var.ys
Warning: Drivers conflicting with a constant 1'1 driver:
    action \Q <= $0\Q[0:0] (sync rule) in process $proc$<<EOT:3$1
Passed deminout_unused.ys
Passed design.ys
Passed cellarray_array_connections.ys
Passed design1.ys
Passed bug2014.ys
Passed constant_drive_conflict.ys
ERROR: No saved design 'foo' found!
Expected error pattern 'No saved design 'foo' found!' found !!!
elab_sys_tasks.sv:8: Warning: X is 1.
elab_sys_tasks.sv:22: Warning: 
Passed design2.ys
Passed elab_sys_tasks.ys
ERROR: Command stdout did have a line matching given regex "giraffe".
Expected error pattern 'stdout did have a line' found !!!
Passed exec.ys
Passed chformal_coverenable.ys
Passed equiv_opt_undef.ys
Passed gen_if_null.ys
Passed func_port_implied_dir.ys
Passed gzip_verilog.ys
Passed hierarchy_defer.ys
Passed global_scope.ys
Passed const_arg_loop.ys
Passed constmsk_test.ys
<<EOT:2: ERROR: syntax error, unexpected '[', expecting TOK_ID or TOK_SIGNED or TOK_UNSIGNED
Expected error pattern 'syntax error, unexpected' found !!!
<<EOT:2: ERROR: syntax error, unexpected TOK_REAL, expecting TOK_ID or TOK_SIGNED or TOK_UNSIGNED
Expected error pattern 'syntax error, unexpected TOK_REAL' found !!!
Passed hierarchy_param.ys
Passed integer_range_bad_syntax.ys
Passed integer_real_bad_syntax.ys
ERROR: Identifier `\b' is implicitly declared.
Expected error pattern 'is implicitly declared.' found !!!
Passed logger_error.ys
attribute \src "\" / \\ \010 \014 \n \015 \t \025 \033"
Passed equiv_make_make_assert.ys
<<EOF:2: Warning: Identifier `\b' is implicitly declared.
<<EOF:2: Warning: Identifier `\w' is implicitly declared.
Passed logger_nowarning.ys
Warning: Found log message matching -W regex:
Added regex 'Successfully finished Verilog frontend.' for warnings to expected warning list.
Passed json_escape_chars.ys
<<EOF:2: Warning: Identifier `\b' is implicitly declared.
<<EOF:2: Warning: Identifier `\w' is implicitly declared.
Warning: Found log message matching -W regex:
Successfully finished Verilog frontend.
Passed help.ys
Passed logger_warning.ys
Passed logger_warn.ys
Passed logic_param_simple.ys
Passed mem2reg.ys
Passed countbits.ys
Passed bug1531.ys
Warning: Wire abc9_test027.$abc$91$o is used but has no driver.
Passed param_struct.ys
Warning: Resizing cell port act.ou2.out from 3 bits to 2 bits.
Warning: Resizing cell port act.os2.out from 3 bits to 2 bits.
Warning: Resizing cell port act.ou1.out from 3 bits to 1 bits.
Warning: Resizing cell port act.os1.out from 3 bits to 1 bits.
Warning: Resizing cell port act.pt9.a from 3 bits to 4 bits.
Warning: Resizing cell port act.pt7.a from 3 bits to 4 bits.
Warning: Resizing cell port act.pt6.a from 3 bits to 4 bits.
Warning: Resizing cell port act.pt5.a from 2 bits to 4 bits.
Warning: Resizing cell port act.pt4.a from 1 bits to 4 bits.
Warning: Resizing cell port act.pt3.a from 1 bits to 4 bits.
Warning: Resizing cell port act.pt2.a from 1 bits to 4 bits.
Passed primitives.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/simcells.v:456)
Passed printattr.ys
Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:26.9-26.21.
Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:29.3-29.18.
Warning: reg '\l_reg' is assigned in a continuous assignment at reg_wire_error.sv:35.8-35.22.
Warning: wire '\mw2' is assigned in a block at reg_wire_error.sv:62.3-62.16.
Warning: wire '\mw3' is assigned in a block at reg_wire_error.sv:69.3-69.17.
Warning: Replacing memory \ml3 with list of registers. See reg_wire_error.sv:70
Warning: Replacing memory \mr3 with list of registers. See reg_wire_error.sv:68
Warning: Replacing memory \ml2 with list of registers. See reg_wire_error.sv:63
Warning: Replacing memory \mr2 with list of registers. See reg_wire_error.sv:61
Warning: Replacing memory \ml1 with list of registers. See reg_wire_error.sv:58
Passed rand_const.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/simcells.v:456)
Passed reg_wire_error.ys
Passed rename_scramble_name.ys
Passed scratchpad.ys
Passed script.ys
Passed sformatf.ys
Passed rtlil_z_bits.ys
Passed fib.ys
<<EOT:2: ERROR: syntax error, unexpected TOK_INTEGER, expecting TOK_ID or '['
Expected error pattern 'syntax error, unexpected TOK_INTEGER' found !!!
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:4)
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:5)
Passed signed.ys
Passed memory_word_as_index.ys
Passed sim_const.ys
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_RISE_MIN = 1.500000 with string.
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_RISE_TYP = 1.500000 with string.
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_RISE_MAX = 1.500000 with string.
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_FALL_MIN = 1.500000 with string.
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_FALL_TYP = 1.500000 with string.
specify.v:28: Warning: Replacing floating point parameter $specify$5.T_FALL_MAX = 1.500000 with string.
Passed equiv_opt_multiclock.ys
Warning: wire '\o' is assigned in a block at <<EOT:2.11-2.17.
Warning: wire '\p' is assigned in a block at <<EOT:3.11-3.16.
Passed signext.ys
Passed fib_tern.ys
Passed src.ys
Warning: Critical-path does not terminate in a recognised endpoint.
Passed port_sign_extend.ys
Warning: Cell type 'const0' not recognised! Ignoring.
Passed sta.ys
Passed sv_defines.ys
Passed pmux2shiftx.ys
ERROR: Duplicate macro arguments with name `x'.
ERROR: Mismatched brackets in macro argument: [ and }.
Expected error pattern 'Mismatched brackets in macro argument: \[ and }.' found !!!
Expected error pattern 'Duplicate macro arguments with name `x'' found !!!
ERROR: Cannot expand macro `foo by giving only 1 argument (argument 2 has no default).
Expected error pattern 'Cannot expand macro `foo by giving only 1 argument \(argument 2 has no default\).' found !!!
Passed sv_defines_dup.ys
Passed sv_defines_mismatch.ys
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_RISE_MIN = 1.500000 with string.
Passed sv_defines_too_few.ys
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_RISE_TYP = 1.500000 with string.
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_RISE_MAX = 1.500000 with string.
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_FALL_MIN = 1.500000 with string.
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_FALL_TYP = 1.500000 with string.
specify.out:49: Warning: Replacing floating point parameter $specify$24.T_FALL_MAX = 1.500000 with string.
Passed struct_access.ys
Passed submod_extract.ys
Passed xaiger.ys
Warning: No SAT model available for cell B_0 ($specrule).
Warning: No SAT model available for cell C_0 ($specrule).
Warning: No SAT model available for cell A_0 ($specify3).
Warning: No SAT model available for cell A_0 ($specify2).
Warning: No SAT model available for cell B_0 ($specify2).
Warning: Port directions for cell \s1 (\DFF) are unknown. Assuming inout for all ports.
Warning: Port directions for cell \s2 (\DFF) are unknown. Assuming inout for all ports.
Warning: Port directions for cell \s3 (\DFF) are unknown. Assuming inout for all ports.
Passed submod.ys
Passed specify.ys
Passed wreduce.ys
Passed hierarchy.sh
Passed write_gzip.ys
Passed shregmap.ys
Passed const_func.ys
Passed chparam.sh
Passed logger_fail.sh
Passed smtlib2_module.sh
Passed svalways.sh
Passed peepopt.ys
Passed sv_implicit_ports.sh
Passed async.sh
Passed muxpack.ys
Passed abc9.ys
Passed ice40_mince_abc9.ys
Passed muxcover.ys
Passed plugin.sh
Passed pmgen_reduce.ys
Passed dynamic_part_select.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/various'
cd tests/select && bash run-test.sh
Running blackboxes.ys..
Running no_warn_assert.ys..
Running no_warn_prefixed_arg_memb.ys..
Running no_warn_prefixed_empty_select_arg.ys..
Running unset.ys..
ERROR: Selection '\foo' does not exist!
Expected error pattern 'Selection '\\foo' does not exist!' found !!!
Running unset2.ys..
ERROR: Selection @foo is not defined!
Expected error pattern 'Selection @foo is not defined!' found !!!
Running warn_empty_select_arg.ys..
Warning: Selection "foo" did not match any module.
Warning: Selection "bar" did not match any object.
cd tests/sat && bash run-test.sh
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/sat'
Passed bug2595.ys
Warning: Complex async reset for dff `\q [12]'.
Warning: Complex async reset for dff `\q [8]'.
Passed dff.ys
Warning: Wire top.\cnt [7] is used but has no driver.
Warning: Wire top.\cnt [6] is used but has no driver.
Warning: Wire top.\cnt [5] is used but has no driver.
Warning: Wire top.\cnt [4] is used but has no driver.
Warning: Wire top.\cnt [3] is used but has no driver.
Warning: Wire top.\cnt [2] is used but has no driver.
Warning: Wire top.\cnt [1] is used but has no driver.
Warning: Wire top.\cnt [0] is used but has no driver.
Passed initval.ys
Passed asserts.ys
Warning: Signal 'top.cnt' in file 8'x in simulation '8'00000000'
ERROR: Signal difference
Expected error pattern 'Signal difference' found !!!
Passed sim_counter.ys
Passed sizebits.ys
Passed expose_dff.ys
Passed splice.ys
Passed asserts_seq.ys
Passed counters.ys
Passed grom.ys
Passed counters-repeat.ys
Passed share.ys
Passed clk2fflogic.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/sat'
cd tests/sim && bash run-test.sh
Generate FST for sim models
Test tb_adff
FST info: dumpfile tb_adff.fst opened for output.
tb/tb_adff.v:38: $finish called at 110 (1ns)
Test tb_adffe
FST info: dumpfile tb_adffe.fst opened for output.
tb/tb_adffe.v:56: $finish called at 190 (1ns)
Test tb_adlatch
FST info: dumpfile tb_adlatch.fst opened for output.
tb/tb_adlatch.v:68: $finish called at 250 (1ns)
Test tb_aldff
FST info: dumpfile tb_aldff.fst opened for output.
tb/tb_aldff.v:71: $finish called at 270 (1ns)
Test tb_aldffe
FST info: dumpfile tb_aldffe.fst opened for output.
tb/tb_aldffe.v:73: $finish called at 270 (1ns)
Test tb_dff
FST info: dumpfile tb_dff.fst opened for output.
tb/tb_dff.v:45: $finish called at 150 (1ns)
Test tb_dffe
FST info: dumpfile tb_dffe.fst opened for output.
tb/tb_dffe.v:40: $finish called at 120 (1ns)
Test tb_dffsr
FST info: dumpfile tb_dffsr.fst opened for output.
tb/tb_dffsr.v:67: $finish called at 250 (1ns)
Test tb_dlatch
FST info: dumpfile tb_dlatch.fst opened for output.
tb/tb_dlatch.v:48: $finish called at 160 (1ns)
Test tb_dlatchsr
FST info: dumpfile tb_dlatchsr.fst opened for output.
tb/tb_dlatchsr.v:63: $finish called at 250 (1ns)
Test tb_sdff
FST info: dumpfile tb_sdff.fst opened for output.
tb/tb_sdff.v:46: $finish called at 150 (1ns)
Test tb_sdffce
FST info: dumpfile tb_sdffce.fst opened for output.
tb/tb_sdffce.v:77: $finish called at 300 (1ns)
Test tb_sdffe
FST info: dumpfile tb_sdffe.fst opened for output.
tb/tb_sdffe.v:68: $finish called at 250 (1ns)
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/sim'
Warning: Complex async reset for dff `\q'.
Warning: Async reset value `\ad' is not constant!
Warning: Async reset value `\ad' is not constant!
Passed sim_dffe.ys
Passed sim_adffe.ys
Passed sim_dffsr.ys
Passed sim_dff.ys
Passed sim_adff.ys
Passed sim_adlatch.ys
Passed sim_aldff.ys
Passed sim_dlatch.ys
Passed sim_sdff.ys
Passed sim_dlatchsr.ys
Passed sim_aldffe.ys
Passed sim_sdffe.ys
Passed sim_sdffce.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/sim'
cd tests/svinterfaces && bash run-test.sh ""
Test: svinterface1 -> svinterface1_tb.v:50: $finish called at 420000 (10ps)
svinterface1_tb.v:50: $finish called at 420000 (10ps)
ok
Test: svinterface_at_top -> svinterface_at_top_tb.v:61: $finish called at 420000 (10ps)
svinterface_at_top_tb_wrapper.v:61: $finish called at 420000 (10ps)
ERROR!
Test: load_and_derive ->ok
Test: resolve_types ->ok
cd tests/svtypes && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/svtypes'
<<EOT:1: ERROR: Static cast with non constant expression!
Expected error pattern 'Static cast with non constant expression' found !!!
<<EOT:7: ERROR: Insufficient number of array indices for a.
Expected error pattern 'Insufficient number of array indices for a.' found !!!
<<EOT:1: ERROR: Static cast is only supported in SystemVerilog mode.
Expected error pattern 'Static cast is only supported in SystemVerilog mode' found !!!
<<EOT:1: ERROR: Static cast with zero or negative size!
Expected error pattern 'Static cast with zero or negative size' found !!!
<<EOT:1: ERROR: Static cast with zero or negative size!
Expected error pattern 'Static cast with zero or negative size' found !!!
Passed static_cast_nonconst.ys
Passed multirange_subarray_access.ys
Passed static_cast_negative.ys
Passed static_cast_verilog.ys
Passed static_cast_zero.ys
Warning: reg '\var_12' is assigned in a continuous assignment at typedef_initial_and_assign.sv:67.9-67.19.
Warning: reg '\var_13' is assigned in a continuous assignment at typedef_initial_and_assign.sv:71.9-71.19.
Warning: reg '\var_14' is assigned in a continuous assignment at typedef_initial_and_assign.sv:74.9-74.19.
Warning: reg '\var_15' is assigned in a continuous assignment at typedef_initial_and_assign.sv:78.9-78.19.
Warning: reg '\var_16' is assigned in a continuous assignment at typedef_initial_and_assign.sv:81.9-81.19.
Warning: reg '\var_17' is assigned in a continuous assignment at typedef_initial_and_assign.sv:85.9-85.19.
Warning: reg '\var_18' is assigned in a continuous assignment at typedef_initial_and_assign.sv:88.9-88.19.
Warning: reg '\var_19' is assigned in a continuous assignment at typedef_initial_and_assign.sv:92.9-92.19.
struct_array.sv:22: Warning: Range [3:-4] select out of bounds on signal `\s': Setting 4 LSB bits to undef.
struct_array.sv:23: Warning: Range select [23:16] out of bounds on signal `\s': Setting all 8 result bits to undef.
struct_array.sv:24: Warning: Range [19:12] select out of bounds on signal `\s': Setting 4 MSB bits to undef.
struct_array.sv:15: Warning: Range [-1:-8] select out of bounds on signal `\s': Setting 8 LSB bits to undef.
Passed multirange_array.sv
Passed logic_rom.ys
Passed typedef_initial_and_assign.ys
Passed typedef_memory.ys
Passed typedef_memory_2.ys
Passed static_cast_simple.sv
Passed typedef_struct_port.ys
Passed enum_simple.ys
Passed struct_simple.sv
Passed struct_sizebits.sv
Passed typedef_param.sv
Passed typedef_scopes.sv
Passed typedef_package.sv
Passed struct_array.sv
Passed typedef_simple.sv
Passed typedef_struct.sv
Passed union_simple.sv
Passed struct_dynamic_range.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/svtypes'
cd tests/proc && bash run-test.sh
Running bug2619.ys..
Running bug2656.ys..
Warning: wire '\q1' is assigned in a block at <<EOT:8.3-8.11.
Warning: wire '\q2' is assigned in a block at <<EOT:12.3-12.10.
Warning: wire '\q2' is assigned in a block at <<EOT:14.3-14.11.
Running bug2962.ys..
Running bug_1268.ys..
Running clean_undef_case.ys..
Running proc_rom.ys..
Warning: wire '\d' is assigned in a block at <<EOT:7.10-7.20.
Warning: wire '\d' is assigned in a block at <<EOT:8.10-8.20.
Warning: wire '\d' is assigned in a block at <<EOT:9.10-9.20.
Warning: wire '\d' is assigned in a block at <<EOT:10.10-10.20.
Warning: wire '\d' is assigned in a block at <<EOT:11.10-11.20.
Warning: wire '\d' is assigned in a block at <<EOT:12.10-12.20.
Warning: wire '\d' is assigned in a block at <<EOT:13.10-13.20.
Warning: wire '\d' is assigned in a block at <<EOT:14.10-14.20.
Warning: wire '\d' is assigned in a block at <<EOT:15.10-15.20.
Warning: wire '\d' is assigned in a block at <<EOT:16.10-16.20.
Warning: wire '\d' is assigned in a block at <<EOT:17.10-17.20.
Warning: wire '\d' is assigned in a block at <<EOT:18.10-18.20.
Warning: wire '\d' is assigned in a block at <<EOT:19.10-19.20.
Warning: wire '\d' is assigned in a block at <<EOT:20.10-20.20.
Warning: wire '\d' is assigned in a block at <<EOT:21.10-21.20.
Warning: wire '\d' is assigned in a block at <<EOT:22.10-22.20.
Warning: wire '\d' is assigned in a block at <<EOT:25.3-25.9.
Warning: wire '\d' is assigned in a block at <<EOT:20.13-20.23.
Warning: wire '\d' is assigned in a block at <<EOT:23.3-23.9.
Warning: wire '\d' is assigned in a block at <<EOT:7.7-7.17.
Warning: wire '\d' is assigned in a block at <<EOT:8.7-8.17.
Warning: wire '\d' is assigned in a block at <<EOT:9.7-9.17.
Warning: wire '\d' is assigned in a block at <<EOT:10.7-10.17.
Warning: wire '\d' is assigned in a block at <<EOT:11.7-11.17.
Warning: wire '\d' is assigned in a block at <<EOT:12.7-12.17.
Warning: wire '\d' is assigned in a block at <<EOT:13.7-13.17.
Warning: wire '\d' is assigned in a block at <<EOT:14.7-14.17.
Warning: wire '\d' is assigned in a block at <<EOT:15.7-15.17.
Warning: wire '\d' is assigned in a block at <<EOT:16.7-16.17.
Warning: wire '\d' is assigned in a block at <<EOT:17.8-17.18.
Warning: wire '\d' is assigned in a block at <<EOT:18.8-18.18.
Warning: wire '\d' is assigned in a block at <<EOT:19.8-19.18.
Warning: wire '\d' is assigned in a block at <<EOT:7.9-7.19.
Warning: wire '\d' is assigned in a block at <<EOT:8.9-8.19.
Warning: wire '\d' is assigned in a block at <<EOT:9.9-9.19.
Warning: wire '\d' is assigned in a block at <<EOT:10.9-10.19.
Warning: wire '\d' is assigned in a block at <<EOT:11.9-11.19.
Warning: wire '\d' is assigned in a block at <<EOT:12.9-12.19.
Warning: wire '\d' is assigned in a block at <<EOT:13.9-13.19.
Warning: wire '\d' is assigned in a block at <<EOT:14.9-14.19.
Warning: wire '\d' is assigned in a block at <<EOT:15.9-15.19.
Warning: wire '\d' is assigned in a block at <<EOT:16.9-16.19.
Warning: wire '\d' is assigned in a block at <<EOT:17.9-17.19.
Warning: wire '\d' is assigned in a block at <<EOT:18.9-18.19.
Warning: wire '\d' is assigned in a block at <<EOT:19.9-19.19.
Warning: wire '\d' is assigned in a block at <<EOT:20.9-20.19.
Warning: wire '\d' is assigned in a block at <<EOT:21.9-21.19.
Warning: wire '\d' is assigned in a block at <<EOT:22.9-22.19.
Running rmdead.ys..
cd tests/blif && bash run-test.sh
Running bug2729.ys..
Running bug3374.ys..
ERROR: Syntax error in line 1!
Expected error pattern 'Syntax error in line 1!' found !!!
Running bug3385.ys..
ERROR: Syntax error in line 4: names' input plane must have fewer than 13 signals.
Expected error pattern 'Syntax error in line 4: names' input plane must have fewer than 13 signals.' found !!!
cd tests/opt && bash run-test.sh
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/opt'
Passed bug1525.ys
Passed bug2221.ys
Passed bug2311.ys
Passed bug2623.ys
Passed bug2824.ys
Passed bug2920.ys
Passed bug3848.ys
Passed bug2010.ys
Passed bug3867.ys
Passed bug1758.ys
Passed bug1854.ys
Passed bug2765.ys
<<EOT:19: Warning: Range select [13:12] out of bounds on signal `\Q': Setting all 2 result bits to undef.
<<EOT:20: Warning: Range select [15:14] out of bounds on signal `\Q': Setting all 2 result bits to undef.
Passed bug3047.ys
Passed opt_clean_mem.ys
<<EOT:24: Warning: Range select [21:20] out of bounds on signal `\Q': Setting all 2 result bits to undef.
<<EOT:25: Warning: Range select [23:22] out of bounds on signal `\Q': Setting all 2 result bits to undef.
Passed memory_bmux2rom.ys
Passed bug3117.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:2)
Passed opt_clean_init.ys
Passed bug2318.ys
Passed opt_dff_qd.ys
Passed opt_expr_cmp.ys
Warning: wire '\a' is assigned in a block at <<EOT:4.2-4.8.
Warning: wire '\a' is assigned in a block at <<EOT:5.2-5.8.
Warning: wire '\a' is assigned in a block at <<EOT:4.9-4.15.
Warning: wire '\a' is assigned in a block at <<EOT:5.9-5.15.
Passed opt_dff_dffmux.ys
Passed opt_expr_constconn.ys
Passed opt_expr_and.ys
Passed opt_dff_clk.ys
Passed opt_expr_consumex.ys
Passed opt_expr_alu.ys
Passed opt_lut_elim.ys
Passed opt_expr_combined_assign.ys
Passed opt_lut_ins.ys
Passed bug2766.ys
Passed opt_lut_port.ys
Passed opt_expr_or.ys
Passed opt_merge_init.ys
Passed opt_merge_keep.ys
Passed opt_expr_xor.ys
Passed opt_dff_arst.ys
Passed opt_expr.ys
Warning: Wire opt_rmdff_test.\Q [22] is used but has no driver.
Passed opt_reduce_bmux.ys
Passed opt_expr_xnor.ys
Passed opt_reduce_demux.ys
Passed opt_share_bug2334.ys
Passed opt_rmdff_sat.ys
Passed opt_dff_srst.ys
Passed opt_share_bug2335.ys
Passed opt_share_bug2336.ys
Passed opt_share_bug2538.ys
Passed opt_share_add_sub.ys
Passed opt_mem_priority.ys
Passed memory_dff_trans.ys
Passed opt_share_diff_port_widths.ys
Passed opt_share_extend.ys
Passed opt_dff_const.ys
Passed opt_share_cat.ys
Passed opt_share_cat_multiuser.ys
Passed opt_share_mux_tree.ys
Passed opt_share_large_pmux_cat.ys
Passed opt_share_large_pmux_multipart.ys
Passed opt_share_large_pmux_part.ys
Passed opt_dff_mux.ys
Passed opt_share_large_pmux_cat_multipart.ys
Passed memory_map_offset.ys
Passed opt_dff_en.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:41)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:86)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:87)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2153)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2154)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2155)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2156)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2157)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2158)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2925)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2926)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2988)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2989)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:2990)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:3203)
Warning: Yosys has only limited support for tri-state logic at the moment. (/<<PKGBUILDDIR>>/share/ice40/cells_sim.v:3210)
Passed opt_rmdff.ys
Passed opt_lut.ys
Passed opt_dff_sr.ys
Passed opt_mem_feedback.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/opt'
cd tests/aiger && bash run-test.sh ""
Checking and_.aag.
Checking buffer.aag.
Checking cnt1.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking cnt1e.aag.
Checking empty.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Warning: The current network has no primary outputs. Some commands may not work correctly.
Checking false.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking halfadder.aag.
Checking inverter.aag.
Checking notcnt1.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking notcnt1e.aag.
Checking or_.aag.
Checking symbols.aag.
Checking toggle-re.aag.
Checking toggle.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking true.aag.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking and_.aig.
Checking buffer.aig.
Checking cnt1.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking cnt1e.aig.
Checking empty.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Warning: The current network has no primary outputs. Some commands may not work correctly.
Checking false.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking halfadder.aig.
Checking inverter.aig.
Checking notcnt1.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking notcnt1e.aig.
Checking or_.aig.
Checking symbols.aig.
Checking toggle-re.aig.
Checking toggle.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Checking true.aig.
Warning: The new network has no primary inputs. It is recommended
to add a dummy PI to make sure all commands work correctly.
Running neg.ys.
cd tests/arch && bash run-test.sh
Running syntax check on arch sim models
Test ../../techlibs/achronix/speedster22i/cells_sim.v -> ok
Test ../../techlibs/anlogic/cells_sim.v -> ok
Test ../../techlibs/coolrunner2/cells_sim.v -> ok
Test ../../techlibs/ecp5/cells_sim.v -> ok
Test ../../techlibs/efinix/cells_sim.v -> ok
Test ../../techlibs/gatemate/cells_sim.v -> ok
Test ../../techlibs/gowin/cells_sim.v -> ok
Test ../../techlibs/greenpak4/cells_sim.v -> ok
Test ../../techlibs/ice40/cells_sim.v -DICE40_HX ->../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2233: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2233: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2235: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2235: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2237: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2237: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2239: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2239: warning: Choosing typ expression.
 ok
Test ../../techlibs/ice40/cells_sim.v -DICE40_LP ->../../techlibs/ice40/cells_sim.v:2295: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2295: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2297: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2297: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2299: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2299: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2301: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2301: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression.
 ok
Test ../../techlibs/ice40/cells_sim.v -DICE40_U ->../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2361: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2361: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2363: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2363: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2365: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2365: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2367: warning: Choosing typ expression.
../../techlibs/ice40/cells_sim.v:2367: warning: Choosing typ expression.
 ok
Test ../../techlibs/intel/cyclone10lp/cells_sim.v -> ok
Test ../../techlibs/intel/cycloneiv/cells_sim.v -> ok
Test ../../techlibs/intel/cycloneive/cells_sim.v -> ok
Test ../../techlibs/intel/max10/cells_sim.v -> ok
Test ../../techlibs/intel_alm/cyclonev/cells_sim.v -> ok
Test ../../techlibs/nexus/cells_sim.v -> ok
Test ../../techlibs/quicklogic/cells_sim.v -> ok
Test ../../techlibs/sf2/cells_sim.v -> ok
Test ../../techlibs/xilinx/cells_sim.v -> ok
Test ../../techlibs/common/simcells.v -> ok
Test ../../techlibs/common/simlib.v -> ok
cd tests/arch/ice40 && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/ice40'
Passed ice40_wrapcarry.ys
Warning: Resizing cell port SSCounter6o.l0.I3 from 32 bits to 1 bits.
Warning: Resizing cell port SSCounter6o.c0.CI from 32 bits to 1 bits.
Warning: Resizing cell port SSCounter6o.lien.I0 from 32 bits to 1 bits.
Warning: Resizing cell port SSCounter6o.lien.I1 from 32 bits to 1 bits.
Passed ice40_dsp.ys
Passed bug1626.ys
Passed bug2061.ys
Passed ice40_opt.ys
Passed add_sub.ys
Warning: wire '\data' is assigned in a block at rom.v:10.5-10.15.
Warning: wire '\data' is assigned in a block at rom.v:11.5-11.15.
Warning: wire '\data' is assigned in a block at rom.v:12.5-12.15.
Warning: wire '\data' is assigned in a block at rom.v:13.6-13.16.
Warning: wire '\data' is assigned in a block at rom.v:14.6-14.16.
Warning: wire '\data' is assigned in a block at rom.v:15.6-15.16.
Warning: wire '\data' is assigned in a block at rom.v:16.11-16.21.
Passed bug1597.ys
Warning: wire '\read_data' is assigned in a block at spram.v:19.3-19.25.
Passed counter.ys
Passed logic.ys
Passed bug1598.ys
Passed fsm.ys
Passed shifter.ys
Passed mul.ys
Passed dffs.ys
Passed rom.ys
Passed tribuf.ys
Passed spram.ys
Passed latches.ys
Passed adffs.ys
Passed mux.ys
Passed macc.ys
Passed dpram.ys
Passed bug1644.ys
Passed memories.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/ice40'
cd tests/arch/xilinx && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/xilinx'
Passed bug3670.ys
Warning: Wire top.\t is used but has no driver.
Warning: Wire top.\in is used but has no driver.
Passed bug1605.ys
Passed bug1480.ys
Passed bug1462.ys
Passed bug1460.ys
Warning: Resizing cell port block_ram.memory.0.0.DIADI from 64 bits to 16 bits.
Warning: Resizing cell port block_ram.memory.0.0.DOADO from 64 bits to 16 bits.
Warning: Resizing cell port block_ram.memory.0.0.DOBDO from 64 bits to 16 bits.
Warning: Resizing cell port block_ram.memory.0.0.DOPADOP from 8 bits to 2 bits.
Warning: Resizing cell port block_ram.memory.0.0.DOPBDOP from 8 bits to 2 bits.
Warning: Resizing cell port block_ram.memory.0.0.WEA from 4 bits to 2 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 16 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 16 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 16 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 2 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 2 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.WEA from 4 bits to 2 bits.
Passed dsp_fastfir.ys
Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DIADI from 64 bits to 16 bits.
Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOADO from 64 bits to 16 bits.
Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOBDO from 64 bits to 16 bits.
Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits.
Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits.
Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.WEA from 4 bits to 2 bits.
Passed counter.ys
Warning: Shift register inference not yet supported for family xc3s.
Passed bug1598.ys
Passed add_sub.ys
Warning: Replacing memory \M with list of registers. See mul_unsigned.v:25
Passed logic.ys
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 2 bits.
Warning: Shift register inference not yet supported for family xc3se.
Passed dsp_simd.ys
Warning: Shift register inference not yet supported for family xc3se.
Passed fsm.ys
/<<PKGBUILDDIR>>/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef.
/<<PKGBUILDDIR>>/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef.
/<<PKGBUILDDIR>>/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef.
/<<PKGBUILDDIR>>/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef.
Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.WEBWE from 1 bits to 4 bits.
Passed mul.ys
Passed opt_lut_ins.ys
Warning: Whitebox '$paramod\FDRE\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox.
Warning: Whitebox 'FDSE' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox.
Warning: Whitebox '$paramod\FDRE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox.
Warning: Whitebox '$paramod\FDSE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox.
Passed dsp_abc9.ys
Passed macc.ys
Passed latches.ys
/<<PKGBUILDDIR>>/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef.
/<<PKGBUILDDIR>>/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef.
Passed adffs.ys
Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DIADI from 64 bits to 16 bits.
Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOADO from 64 bits to 16 bits.
Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOBDO from 64 bits to 16 bits.
Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits.
Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits.
Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.WEA from 4 bits to 2 bits.
Warning: Selection "asym_ram_sdp_read_wider" did not match any module.
Passed dffs.ys
Passed xilinx_dsp.ys
Passed xilinx_srl.ys
Passed shifter.ys
Warning: Resizing cell port priority_memory.mem.0.0.ADDRARDADDR from 16 bits to 15 bits.
Warning: Resizing cell port priority_memory.mem.0.0.ADDRBWRADDR from 16 bits to 15 bits.
Warning: Resizing cell port priority_memory.mem.0.0.DINADIN from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.0.DINBDIN from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.0.DINPADINP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.0.DINPBDINP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.0.DOUTADOUT from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.0.DOUTBDOUT from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.0.DOUTPADOUTP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.0.DOUTPBDOUTP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.0.WEBWE from 4 bits to 8 bits.
Warning: Resizing cell port priority_memory.mem.0.1.ADDRARDADDR from 16 bits to 15 bits.
Warning: Resizing cell port priority_memory.mem.0.1.ADDRBWRADDR from 16 bits to 15 bits.
Warning: Resizing cell port priority_memory.mem.0.1.DINADIN from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.1.DINBDIN from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.1.DINPADINP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.1.DINPBDINP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.1.DOUTADOUT from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.1.DOUTBDOUT from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.1.DOUTPADOUTP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.1.DOUTPBDOUTP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.1.WEBWE from 4 bits to 8 bits.
Warning: Resizing cell port priority_memory.mem.0.2.ADDRARDADDR from 16 bits to 15 bits.
Warning: Resizing cell port priority_memory.mem.0.2.ADDRBWRADDR from 16 bits to 15 bits.
Warning: Resizing cell port priority_memory.mem.0.2.DINADIN from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.2.DINBDIN from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.2.DINPADINP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.2.DINPBDINP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.2.DOUTADOUT from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.2.DOUTBDOUT from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.2.DOUTPADOUTP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.2.DOUTPBDOUTP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.2.WEBWE from 4 bits to 8 bits.
Warning: Resizing cell port priority_memory.mem.0.3.ADDRARDADDR from 16 bits to 15 bits.
Warning: Resizing cell port priority_memory.mem.0.3.ADDRBWRADDR from 16 bits to 15 bits.
Warning: Resizing cell port priority_memory.mem.0.3.DINADIN from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.3.DINBDIN from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.3.DINPADINP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.3.DINPBDINP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.3.DOUTADOUT from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.3.DOUTBDOUT from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.3.DOUTPADOUTP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.3.DOUTPBDOUTP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.3.WEBWE from 4 bits to 8 bits.
Warning: Resizing cell port priority_memory.mem.0.4.ADDRARDADDR from 16 bits to 15 bits.
Warning: Resizing cell port priority_memory.mem.0.4.ADDRBWRADDR from 16 bits to 15 bits.
Warning: Resizing cell port priority_memory.mem.0.4.DINADIN from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.4.DINBDIN from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.4.DINPADINP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.4.DINPBDINP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.4.DOUTADOUT from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.4.DOUTBDOUT from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.4.DOUTPADOUTP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.4.DOUTPBDOUTP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.4.WEBWE from 4 bits to 8 bits.
Warning: Resizing cell port priority_memory.mem.0.5.ADDRARDADDR from 16 bits to 15 bits.
Warning: Resizing cell port priority_memory.mem.0.5.ADDRBWRADDR from 16 bits to 15 bits.
Warning: Resizing cell port priority_memory.mem.0.5.DINADIN from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.5.DINBDIN from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.5.DINPADINP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.5.DINPBDINP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.5.DOUTADOUT from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.5.DOUTBDOUT from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.5.DOUTPADOUTP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.5.DOUTPBDOUTP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.5.WEBWE from 4 bits to 8 bits.
Warning: Resizing cell port priority_memory.mem.0.6.ADDRARDADDR from 16 bits to 15 bits.
Warning: Resizing cell port priority_memory.mem.0.6.ADDRBWRADDR from 16 bits to 15 bits.
Warning: Resizing cell port priority_memory.mem.0.6.DINADIN from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.6.DINBDIN from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.6.DINPADINP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.6.DINPBDINP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.6.DOUTADOUT from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.6.DOUTBDOUT from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.6.DOUTPADOUTP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.6.DOUTPBDOUTP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.6.WEBWE from 4 bits to 8 bits.
Warning: Resizing cell port priority_memory.mem.0.7.ADDRARDADDR from 16 bits to 15 bits.
Warning: Resizing cell port priority_memory.mem.0.7.ADDRBWRADDR from 16 bits to 15 bits.
Warning: Resizing cell port priority_memory.mem.0.7.DINADIN from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.7.DINBDIN from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.7.DINPADINP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.7.DINPBDINP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.7.DOUTADOUT from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.7.DOUTBDOUT from 64 bits to 32 bits.
Warning: Resizing cell port priority_memory.mem.0.7.DOUTPADOUTP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.7.DOUTPBDOUTP from 8 bits to 4 bits.
Warning: Resizing cell port priority_memory.mem.0.7.WEBWE from 4 bits to 8 bits.
Passed nosrl.ys
Passed xilinx_dffopt.ys
Passed mul_unsigned.ys
Passed tribuf.ys
Passed mux_lut4.ys
Warning: Resizing cell port priority_memory.mem.0.0.BWE_A from 8 bits to 9 bits.
Warning: Resizing cell port priority_memory.mem.0.0.BWE_B from 8 bits to 9 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 32 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 4 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 32 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 32 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 4 bits.
Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 4 bits.
Passed pmgen_xilinx_srl.ys
Warning: Resizing cell port distributed_ram_manual.memory.0.0.DIADI from 64 bits to 16 bits.
Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOADO from 64 bits to 16 bits.
Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOBDO from 64 bits to 16 bits.
Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPADOP from 8 bits to 2 bits.
Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPBDOP from 8 bits to 2 bits.
Warning: Resizing cell port distributed_ram_manual.memory.0.0.WEA from 4 bits to 2 bits.
Passed attributes_test.ys
Passed mux.ys
Passed tribuf.sh
Passed macc.sh
Passed asym_ram_sdp.ys
Warning: Resizing cell port sp_write_first.mem.0.0.BWE_A from 8 bits to 9 bits.
Passed abc9_dff.ys
Warning: Resizing cell port sp_read_first.mem.0.0.BWE_B from 8 bits to 9 bits.
Passed priority_memory.ys
Warning: Shift register inference not yet supported for family xc3s.
Passed lutram.ys
Passed blockram.ys
Passed dsp_cascade.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/xilinx'
cd tests/arch/ecp5 && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/ecp5'
Warning: Literal has a width of 16 bit, but value requires 184 bit. (<<EOT:1)
Warning: Literal has a width of 16 bit, but value requires 183 bit. (<<EOT:1)
Warning: Literal has a width of 16 bit, but value requires 182 bit. (<<EOT:1)
Warning: Literal has a width of 16 bit, but value requires 165 bit. (<<EOT:1)
Warning: Literal has a width of 16 bit, but value requires 152 bit. (<<EOT:1)
Warning: Literal has a width of 16 bit, but value requires 126 bit. (<<EOT:1)
Warning: Literal has a width of 16 bit, but value requires 108 bit. (<<EOT:1)
Warning: Literal has a width of 16 bit, but value requires 150 bit. (<<EOT:1)
Warning: Literal has a width of 16 bit, but value requires 164 bit. (<<EOT:1)
Warning: Literal has a width of 16 bit, but value requires 181 bit. (<<EOT:1)
Passed bug1630.ys
Warning: Whitebox '$paramod\TRELLIS_FF\REGSET=t24'010100110100010101010100' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox.
Passed bug2731.ys
Passed latches_abc9.ys
Passed counter.ys
Passed bug1836.ys
Passed logic.ys
Warning: wire '\data' is assigned in a block at rom.v:9.5-9.15.
Warning: wire '\data' is assigned in a block at rom.v:10.5-10.15.
Warning: wire '\data' is assigned in a block at rom.v:11.5-11.15.
Warning: wire '\data' is assigned in a block at rom.v:12.6-12.16.
Warning: wire '\data' is assigned in a block at rom.v:13.6-13.16.
Warning: wire '\data' is assigned in a block at rom.v:14.6-14.16.
Warning: wire '\data' is assigned in a block at rom.v:15.11-15.21.
Passed bug2409.ys
Passed bug1598.ys
Passed opt_lut_ins.ys
Passed macc.ys
Passed add_sub.ys
Passed bug1459.ys
Passed fsm.ys
Passed mul.ys
Passed dffs.ys
Passed shifter.ys
Passed tribuf.ys
Passed rom.ys
Passed latches.ys
Passed dpram.ys
Passed adffs.ys
Passed mux.ys
Passed lutram.ys
Passed memories.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/ecp5'
cd tests/arch/machxo2 && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/machxo2'
Passed shifter.ys
Passed counter.ys
Passed tribuf.ys
Passed add_sub.ys
Passed logic.ys
Passed dffs.ys
Passed fsm.ys
Passed adffs.ys
Passed mux.ys
Passed lutram.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/machxo2'
cd tests/arch/efinix && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/efinix'
Passed lutram.ys
Passed counter.ys
Passed shifter.ys
Passed add_sub.ys
Passed dffs.ys
Passed logic.ys
Passed tribuf.ys
Passed adffs.ys
Passed fsm.ys
Passed latches.ys
Passed mux.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/efinix'
cd tests/arch/anlogic && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/anlogic'
Passed counter.ys
Passed logic.ys
Passed tribuf.ys
Passed shifter.ys
Passed add_sub.ys
Passed lutram.ys
Passed dffs.ys
Passed fsm.ys
Passed latches.ys
Passed mux.ys
Passed blockram.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/anlogic'
cd tests/arch/gowin && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/gowin'
ERROR: FF myDFFP.$auto$ff.cc:266:slice$663 (type $_DFF_PP1_) cannot be legalized: unsupported initial value and async reset value combination
Expected error pattern 'unsupported initial value and async reset value combination' found !!!
Passed init-error.ys
Passed shifter.ys
Passed tribuf.ys
Passed counter.ys
Passed add_sub.ys
Passed logic.ys
Passed compare.ys
Passed dffs.ys
Passed fsm.ys
Passed init.ys
Passed adffs.ys
Passed mux.ys
Passed lutram.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/gowin'
cd tests/arch/intel_alm && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/intel_alm'
Passed blockram.ys
Passed quartus_ice.ys
Passed logic.ys
Passed shifter.ys
Passed tribuf.ys
Passed counter.ys
Passed dffs.ys
Passed add_sub.ys
Passed adffs.ys
Passed fsm.ys
Passed mux.ys
Passed mul.ys
Passed lutram.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/intel_alm'
cd tests/arch/nexus && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/nexus'
Passed logic.ys
Passed fsm.ys
Passed tribuf.ys
Passed shifter.ys
Passed counter.ys
Passed add_sub.ys
Passed dffs.ys
Passed blockram.ys
Passed lutram.ys
Passed mul.ys
Passed adffs.ys
Passed mux.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/nexus'
cd tests/arch/quicklogic && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/quicklogic'
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: Complex async reset for dff `\Q'.
Warning: Complex async reset for dff `\Q'.
Warning: Complex async reset for dff `\Q'.
Warning: Complex async reset for dff `\Q'.
Warning: Complex async reset for dff `\Q'.
Warning: Complex async reset for dff `\Q'.
Warning: Complex async reset for dff `\Q'.
Warning: Complex async reset for dff `\Q'.
Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock.
Warning: Complex async reset for dff `\Q'.
Passed logic.ys
Passed tribuf.ys
Passed add_sub.ys
Passed counter.ys
Passed dffs.ys
Passed fsm.ys
Passed latches.ys
Passed adffs.ys
Passed mux.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/quicklogic'
cd tests/arch/gatemate && bash run-test.sh ""
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/arch/gatemate'
Passed logic.ys
Passed add_sub.ys
Passed counter.ys
Passed shifter.ys
Passed tribuf.ys
Passed fsm.ys
Passed dffs.ys
Passed mux.ys
Passed latches.ys
Passed mul.ys
Passed adffs.ys
Passed memory.ys
Passed luttrees.ys
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/arch/gatemate'
cd tests/rpc && bash run-test.sh
Running exec.ys..
cd tests/memfile && bash run-test.sh
Running from the parent directory with content1.dat
Running from the parent directory with temp/content2.dat
Running from the parent directory with memfile/temp/content2.dat
Running from the same directory with content1.dat
Running from the same directory with temp/content2.dat
Running from a child directory with content1.dat
Running from a child directory with temp/content2.dat
Running from a child directory with content2.dat
Checking a failure when zero length filename is provided
memory.v:15: ERROR: Can not open file `` for \$readmemb.
Execution failed, which is OK.
Checking a failure when not existing filename is provided
memory.v:15: ERROR: Can not open file `content3.dat` for \$readmemb.
Execution failed, which is OK.
cd tests/verilog && bash run-test.sh
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/verilog'
<<EOF:0: ERROR: Expression width 1073741824 exceeds implementation limit of 16777216!
Expected error pattern 'Expression width 1073741824 exceeds implementation limit of 16777216!' found !!!
<<EOF:0: ERROR: Expression width 1073741824 exceeds implementation limit of 16777216!
Expected error pattern 'Expression width 1073741824 exceeds implementation limit of 16777216!' found !!!
ERROR: Latch inferred for signal `\top.$unnamed_block$1.y' from always_comb process `\top.$proc$<<EOF:0$2'.
ERROR: Latch inferred for signal `\top.$unnamed_block$3.y' from always_comb process `\top.$proc$<<EOF:0$4'.
Expected error pattern '^Latch inferred for signal `\\top\.\$unnamed_block\$1\.y' from always_comb process' found !!!
Expected error pattern '^Latch inferred for signal `\\top\.\$unnamed_block\$3\.y' from always_comb process' found !!!
ERROR: Latch inferred for signal `\top.$unnamed_block$1.y' from always_comb process `\top.$proc$<<EOF:0$2'.
Expected error pattern '^Latch inferred for signal `\\top\.\$unnamed_block\$1\.y' from always_comb process' found !!!
ERROR: Latch inferred for signal `\top.$unnamed_block$1.y' from always_comb process `\top.$proc$<<EOF:0$2'.
Expected error pattern '^Latch inferred for signal `\\top\.\$unnamed_block\$1\.y' from always_comb process' found !!!
Passed absurd_width_const.ys
<<EOF:5: ERROR: Begin label missing where end label (incorrect_name) was given.
Expected error pattern 'Begin label missing where end label \(incorrect_name\) was given\.' found !!!
Passed absurd_width.ys
Passed always_comb_latch_1.ys
<<EOF:5: ERROR: Begin label (correct_name) and end label (incorrect_name) don't match.
Expected error pattern 'Begin label \(correct_name\) and end label \(incorrect_name\) don't match\.' found !!!
Passed always_comb_latch_3.ys
Passed always_comb_latch_2.ys
Passed always_comb_latch_4.ys
Passed block_end_label_only.ys
Passed block_end_label_wrong.ys
Passed always_comb_nolatch_4.ys
Passed always_comb_nolatch_1.ys
Passed always_comb_nolatch_3.ys
Passed always_comb_nolatch_2.ys
Passed always_comb_nolatch_6.ys
<<EOT:5: ERROR: Begin label (a) and end label (b) don't match.
Expected error pattern 'Begin label \(a\) and end label \(b\) don't match\.' found !!!
Passed always_comb_nolatch_5.ys
Passed block_labels.ys
<<EOT:6: ERROR: Failed to detect width for identifier \genblk1.y!
<<EOT:5: ERROR: task/function argument direction missing
Expected error pattern 'task/function argument direction missing' found !!!
Expected error pattern 'Failed to detect width for identifier \\genblk1\.y!' found !!!
<<EOT:4: ERROR: Cannot add procedural assertion `\x' because a signal with the same name was already created at <<EOT:2.10-2.11!
Expected error pattern 'Cannot add procedural assertion `\\x' because a signal with the same name was already created' found !!!
<<EOT:5: ERROR: Cannot add cell `\x' because a memory with the same name was already created at <<EOT:4.15-4.16!
Expected error pattern 'Cannot add cell `\\x' because a memory with the same name was already created' found !!!
<<EOT:0: ERROR: Cannot add interface port `\i' because a signal with the same name was already created at <<EOT:9.10-9.11!
Expected error pattern 'Cannot add interface port `\\i' because a signal with the same name was already created' found !!!
<<EOT:3: Warning: Ignoring assignment to constant bits:
    old assignment: 2 = 1
    new assignment: { } = { }.
<<EOT:4: ERROR: Cannot add pwire `\x' because a signal with the same name was already created at <<EOT:2.10-2.11!
Expected error pattern 'Cannot add pwire `\\x' because a signal with the same name was already created' found !!!
<<EOT:3: ERROR: Cannot add memory `\x' because a signal with the same name was already created at <<EOT:2.15-2.16!
Expected error pattern 'Cannot add memory `\\x' because a signal with the same name was already created' found !!!
<<EOT:3: ERROR: Cannot add signal `\x' because a memory with the same name was already created at <<EOT:2.15-2.16!
Expected error pattern 'Cannot add signal `\\x' because a memory with the same name was already created' found !!!
Passed bug2037.ys
Passed bug2042.ys
Passed bug2493.ys
Passed conflict_assert.ys
Passed conflict_cell_memory.ys
Passed conflict_interface_port.ys
Passed conflict_memory_wire.ys
Passed conflict_pwire.ys
Passed conflict_wire_memory.ys
Passed bug656.ys
Warning: Complex async reset for dff `\q'.
<<EOT:6: ERROR: syntax error, unexpected TOK_ENDTASK, expecting ';'
Expected error pattern 'syntax error, unexpected TOK_ENDTASK, expecting ';'' found !!!
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:3)
Passed bug2042-sv.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (<<EOT:3)
Passed atom_type_signedness.ys
<<EOT:4: ERROR: For loop variable declaration is missing initialization!
Expected error pattern 'For loop variable declaration is missing initialization!' found !!!
<<EOT:4: ERROR: For loop inline variable declaration is only supported in SystemVerilog mode!
Expected error pattern 'For loop inline variable declaration is only supported in SystemVerilog mode!' found !!!
<<EOT:0: ERROR: Incompatible re-declaration of constant function wire \f$func$<<EOT:8$1.inp.
Expected error pattern 'Incompatible re-declaration of constant function wire' found !!!
<<EOT:0: ERROR: Incompatible re-declaration of constant function wire \f$func$<<EOT:8$1.inp.
Expected error pattern 'Incompatible re-declaration of constant function wire' found !!!
Passed for_decl_no_init.ys
<<EOT:8: ERROR: Incompatible re-declaration of wire \f$func$<<EOT:8$1.inp.
Expected error pattern 'Incompatible re-declaration of wire' found !!!
Passed delay_time_scale.ys
Passed for_decl_no_sv.ys
<<EOT:8: ERROR: Incompatible re-declaration of wire \f$func$<<EOT:8$1.inp.
Expected error pattern 'Incompatible re-declaration of wire' found !!!
Passed func_arg_mismatch_2.ys
Passed func_arg_mismatch_4.ys
Passed func_arg_mismatch_3.ys
Passed delay_mintypmax.ys
Passed func_arg_mismatch_1.ys
Passed delay_risefall.ys
<<EOF:5: ERROR: Begin label missing where end label (incorrect_name) was given.
Expected error pattern 'Begin label missing where end label \(incorrect_name\) was given\.' found !!!
<<EOF:5: ERROR: Begin label (correct_name) and end label (incorrect_name) don't match.
Expected error pattern 'Begin label \(correct_name\) and end label \(incorrect_name\) don't match\.' found !!!
<<EOT:4: ERROR: Cannot declare module port `\x' within a generate block.
Expected error pattern 'Cannot declare module port `\\x' within a generate block\.' found !!!
Passed gen_block_end_label_only.ys
<<EOT:2: ERROR: Generate for loop inline variable declaration is only supported in SystemVerilog mode!
Expected error pattern 'Generate for loop inline variable declaration is only supported in SystemVerilog mode!' found !!!
<<EOT:2: ERROR: Generate for loop variable declaration is missing initialization!
Expected error pattern 'Generate for loop variable declaration is missing initialization!' found !!!
Passed gen_block_end_label_wrong.ys
Passed genblk_port_decl.ys
Passed genfor_decl_no_sv.ys
Passed genfor_decl_no_init.ys
Passed genblk_case.ys
Passed func_typename_ret.ys
Warning: reg '\y' is assigned in a continuous assignment at genvar_loop_decl_3.sv:13.12-13.21.
Warning: reg '\y' is assigned in a continuous assignment at genvar_loop_decl_3.sv:27.12-27.21.
Passed doubleslash.ys
Passed for_decl_shadow.ys
<<EOT:7: ERROR: Identifier `\y' is implicitly declared and `default_nettype is set to none.
Expected error pattern 'Identifier `\\y' is implicitly declared and `default_nettype is set to none' found !!!
ERROR: Unterminated preprocessor conditional!
Expected error pattern 'Unterminated preprocessor conditional!' found !!!
<<EOF:0: ERROR: Can't find object for defparam `$1`!
Expected error pattern 'Can't find object for defparam' found !!!
Passed genvar_loop_decl_2.ys
Passed global_parameter.ys
Passed hidden_decl.ys
Passed ifdef_unterminated.ys
Passed genvar_loop_decl_1.ys
Passed include_self.ys
<<EOF:3: ERROR: localparam initialization is missing!
Expected error pattern 'localparam initialization is missing!' found !!!
<<EOF:2: ERROR: localparam initialization is missing!
Expected error pattern 'localparam initialization is missing!' found !!!
Passed ifdef_nest.ys
Passed func_tern_hint.ys
Passed localparam_no_default_1.ys
Passed localparam_no_default_2.ys
ERROR: Expected to find '(' to begin macro arguments for 'foo', but instead found '\x0a'
Expected error pattern 'Expected to find '\(' to begin macro arguments for 'foo', but instead found '\\x0a'' found !!!
ERROR: Expected to find '(' to begin macro arguments for 'MACRO', but instead found ';'
Expected error pattern 'Expected to find '\(' to begin macro arguments for 'MACRO', but instead found ';'' found !!!
Passed macro_arg_tromp.ys
Passed genvar_loop_decl_3.ys
Passed macro_unapplied.ys
Passed macro_unapplied_newline.ys
<<EOF:3: ERROR: Module name (correct_name) and end label (incorrect_name) don't match.
Expected error pattern 'Module name \(correct_name\) and end label \(incorrect_name\) don't match\.' found !!!
<<EOF:3: ERROR: Package name (correct_name) and end label (incorrect_name) don't match.
Expected error pattern 'Package name \(correct_name\) and end label \(incorrect_name\) don't match\.' found !!!
<<EOF:3: ERROR: Parameter defaults can only be omitted in SystemVerilog mode!
Expected error pattern 'Parameter defaults can only be omitted in SystemVerilog mode!' found !!!
Passed module_end_label.ys
Passed package_end_label.ys
Passed param_no_default_not_svmode.ys
<<EOF:2: ERROR: Parameter `\X' has no default value and has not been overridden!
<<EOF:2: ERROR: Parameter `\X' has no default value and has not been overridden!
Expected error pattern 'Parameter `\\X' has no default value and has not been overridden!' found !!!
Expected error pattern 'Parameter `\\X' has no default value and has not been overridden!' found !!!
<<EOF:2: ERROR: Parameter `\Y' has no default value and has not been overridden!
Expected error pattern 'Parameter `\\Y' has no default value and has not been overridden!' found !!!
<<EOF:2: ERROR: Parameter `\X' has no default value and has not been overridden!
Expected error pattern 'Parameter `\\X' has no default value and has not been overridden!' found !!!
Passed param_no_default_unbound_2.ys
Passed param_no_default_unbound_1.ys
Passed param_no_default_unbound_3.ys
<<EOF:2: ERROR: Parameter `\X' has no default value and has not been overridden!
Expected error pattern 'Parameter `\\X' has no default value and has not been overridden!' found !!!
Passed param_no_default_unbound_4.ys
Passed param_no_default_unbound_5.ys
Passed const_arst.ys
<<EOT:6: ERROR: syntax error, unexpected ATTR_BEGIN
Expected error pattern 'syntax error, unexpected ATTR_BEGIN' found !!!
Passed task_attr.ys
Passed package_task_func.ys
Passed const_sr.ys
Passed net_types.ys
Passed parameters_across_files.ys
Passed typedef_const_shadow.ys
Passed port_int_types.ys
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:17)
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:21)
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:25)
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:30)
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:34)
Warning: Yosys has only limited support for tri-state logic at the moment. (unbased_unsized.sv:38)
Warning: Resizing cell port top.pt.inp from 32 bits to 64 bits.
Warning: Resizing cell port gate.pt4.out from 64 bits to 40 bits.
Warning: Resizing cell port gate.pt3.out from 64 bits to 40 bits.
Warning: Resizing cell port gate.pt2.out from 64 bits to 40 bits.
Warning: Resizing cell port gate.pt1.out from 64 bits to 40 bits.
Warning: Resizing cell port gold.pt4.out from 64 bits to 40 bits.
Warning: Resizing cell port gold.pt3.out from 64 bits to 40 bits.
Warning: Resizing cell port gold.pt2.out from 64 bits to 40 bits.
Warning: Resizing cell port gold.pt1.out from 64 bits to 40 bits.
Passed past_signedness.ys
Passed typedef_across_files.ys
ERROR: Found `else outside of macro conditional branch!
Expected error pattern 'Found `else outside of macro conditional branch!' found !!!
ERROR: Found `elsif outside of macro conditional branch!
Expected error pattern 'Found `elsif outside of macro conditional branch!' found !!!
Passed unmatched_else.ys
Passed unmatched_elsif.ys
ERROR: Found `endif outside of macro conditional branch!
Expected error pattern 'Found `endif outside of macro conditional branch!' found !!!
ERROR: Found `endif outside of macro conditional branch!
Expected error pattern 'Found `endif outside of macro conditional branch!' found !!!
Passed sign_array_query.ys
Passed unmatched_endif.ys
<<EOT:3: ERROR: Local declaration in unnamed block is only supported in SystemVerilog mode!
Expected error pattern 'Local declaration in unnamed block is only supported in SystemVerilog mode!' found !!!
Passed param_int_types.ys
Passed typedef_legacy_conflict.ys
Passed unmatched_endif_2.ys
Passed unnamed_block.ys
Passed param_no_default.ys
Warning: wire '\wire_1' is assigned in a block at wire_and_var.sv:21.41-21.51.
Warning: reg '\reg_2' is assigned in a continuous assignment at wire_and_var.sv:22.57-22.66.
Passed unnamed_genblk.ys
Warning: reg '\var_reg_2' is assigned in a continuous assignment at wire_and_var.sv:26.77-26.90.
Warning: wire '\wire_logic_1' is assigned in a block at wire_and_var.sv:30.65-30.81.
Warning: wire '\wire_integer_1' is assigned in a block at wire_and_var.sv:31.73-31.91.
Warning: wire '\b' is assigned in a block at <<EOF:2.38-2.43.
Warning: wire '\b' is assigned in a block at <<EOF:3.42-3.48.
Warning: wire '\b' is assigned in a block at <<EOF:12.29-12.34.
Warning: wire '\b' is assigned in a block at <<EOF:13.33-13.39.
Warning: wire '\b' is assigned in a block at <<EOF:23.9-23.14.
Warning: wire '\b' is assigned in a block at <<EOF:24.9-24.15.
Warning: wire '\b' is assigned in a block at <<EOF:25.9-25.15.
Passed upto.ys
Passed wire_and_var.ys
Passed unbased_unsized_shift.ys
Passed unbased_unsized.ys
Passed unreachable_case_sign.ys
Passed prefix.ys
Passed size_cast.ys
Passed void_func.ys
Passed struct_access.ys
Passed int_types.ys
Passed mem_bounds.ys
Passed unbased_unsized_tern.ys
Passed func_upto.ys
Passed dynamic_range_lhs.sh
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/verilog'
cd tests/xprop && bash run-test.sh ""
xprop PRNG seed: 1078805983
make[3]: Entering directory '/<<PKGBUILDDIR>>/tests/xprop'
xprop_xor_1u1_1: ok
xprop_xor_1u1_1: ok
xprop_or_1u1_1: ok
xprop_or_1u1_1: ok
xprop_and_1s1_2: ok
xprop_and_1s1_2: ok
xprop_pos_3s_5: ok
xprop_pos_3s_5: ok
xprop_or_1s1_2: ok
xprop_or_1s1_2: ok
xprop_and_1u1_1: ok
xprop_and_1u1_1: ok
xprop_xor_1s1_2: ok
xprop_xor_1s1_2: ok
xprop_xnor_1s1_2: ok
xprop_xnor_1s1_2: ok
xprop_xnor_1u1_1: ok
xprop_xnor_1u1_1: ok
xprop_not_3s_5: ok
xprop_not_3s_5: ok
xprop_and_2u2_2: ok
xprop_and_2u2_2: ok
xprop_xnor_2u2_2: ok
xprop_xnor_2u2_2: ok
xprop_or_2u2_2: ok
xprop_xor_2u2_2: ok
xprop_or_2u2_2: ok
xprop_xor_2u2_2: ok
xprop_neg_3s_5: ok
xprop_neg_3s_5: ok
xprop_add_5u3_3: ok
xprop_add_5u3_3: ok
xprop_sub_5s3_3: ok
xprop_sub_5s3_3: ok
xprop_add_5s3_3: ok
xprop_add_5s3_3: ok
xprop_sub_5u3_3: ok
xprop_sub_5u3_3: ok
xprop_lt_5u3_2: ok
xprop_lt_5u3_2: ok
xprop_lt_5s3_2: ok
xprop_lt_5s3_2: ok
xprop_mul_5u3_3: ok
xprop_mul_5u3_3: ok
xprop_mul_5s3_3: ok
xprop_mul_5s3_3: ok
xprop_div_5u3_3: ok
xprop_div_5u3_3: ok
xprop_divfloor_5u3_3: ok
xprop_divfloor_5u3_3: ok
xprop_mod_5u3_3: ok
xprop_mod_5u3_3: ok
xprop_le_5u3_2: ok
xprop_le_5u3_2: ok
xprop_modfloor_5u3_3: ok
xprop_modfloor_5u3_3: ok
xprop_div_5s3_3: ok
xprop_div_5s3_3: ok
xprop_mod_5s3_3: ok
xprop_mod_5s3_3: ok
xprop_divfloor_5s3_3: ok
xprop_divfloor_5s3_3: ok
xprop_modfloor_5s3_3: ok
xprop_modfloor_5s3_3: ok
xprop_le_5s3_2: ok
xprop_le_5s3_2: ok
xprop_eqx_5u3_2: ok
xprop_eqx_5u3_2: ok
xprop_eq_5u3_2: ok
xprop_eq_5u3_2: ok
xprop_ne_5u3_2: ok
xprop_ne_5u3_2: ok
xprop_eqx_5s3_2: ok
xprop_eqx_5s3_2: ok
xprop_ne_5s3_2: ok
xprop_ne_5s3_2: ok
xprop_nex_5u3_2: ok
xprop_nex_5u3_2: ok
xprop_eq_5s3_2: ok
xprop_eq_5s3_2: ok
xprop_reduce_and_3u_3: ok
xprop_reduce_and_3u_3: ok
xprop_nex_5s3_2: ok
xprop_nex_5s3_2: ok
xprop_ge_5u3_2: ok
xprop_ge_5u3_2: ok
xprop_ge_5s3_2: ok
xprop_ge_5s3_2: ok
xprop_reduce_and_3s_3: ok
xprop_reduce_and_3s_3: ok
xprop_gt_5u3_2: ok
xprop_gt_5u3_2: ok
xprop_gt_5s3_2: ok
xprop_gt_5s3_2: ok
xprop_reduce_or_3u_3: ok
xprop_reduce_or_3u_3: ok
xprop_reduce_or_3s_3: ok
xprop_reduce_or_3s_3: ok
xprop_reduce_bool_1u_1: ok
xprop_reduce_bool_1u_1: ok
xprop_reduce_xor_3s_3: ok
xprop_reduce_xor_3s_3: ok
xprop_logic_not_1u_1: ok
xprop_logic_not_1u_1: ok
xprop_reduce_xor_3u_3: ok
xprop_reduce_xor_3u_3: ok
xprop_reduce_xnor_3u_3: ok
xprop_reduce_xnor_3u_3: ok
xprop_reduce_xnor_3s_3: ok
xprop_reduce_xnor_3s_3: ok
xprop_reduce_bool_3s_3: ok
xprop_reduce_bool_3u_3: ok
xprop_reduce_bool_3s_3: ok
xprop_reduce_bool_3u_3: ok
xprop_reduce_bool_3s_1: ok
xprop_reduce_bool_3s_1: ok
xprop_logic_not_3s_3: ok
xprop_logic_not_3s_3: ok
xprop_logic_not_3s_1: ok
xprop_logic_and_1u1_1: ok
xprop_logic_not_3s_1: ok
xprop_logic_and_1u1_1: ok
xprop_logic_not_3u_3: ok
xprop_logic_not_3u_3: ok
xprop_logic_and_3u3_3: ok
xprop_logic_and_3u3_3: ok
xprop_logic_and_3s3_3: ok
xprop_logic_and_3s3_3: ok
xprop_logic_or_1u1_1: ok
xprop_logic_or_1u1_1: ok
xprop_logic_and_3s3_1: ok
xprop_logic_and_3s3_1: ok
xprop_logic_or_3u3_3: ok
xprop_logic_or_3u3_3: ok
xprop_logic_or_3s3_3: ok
xprop_logic_or_3s3_3: ok
xprop_logic_or_3s3_1: ok
xprop_logic_or_3s3_1: ok
xprop_shl_4u3u_3: ok
xprop_shl_4u3u_3: ok
xprop_shl_4s3u_3: ok
xprop_shl_4s3u_3: ok
xprop_shr_4s3u_3: ok
xprop_shr_4s3u_3: ok
xprop_shr_4u3u_3: ok
xprop_shr_4u3u_3: ok
xprop_sshl_4s3u_3: ok
xprop_sshl_4s3u_3: ok
xprop_sshl_4u3u_3: ok
xprop_sshl_4u3u_3: ok
xprop_sshr_4s3u_3: ok
xprop_sshr_4u3u_3: ok
xprop_sshr_4s3u_3: ok
xprop_sshr_4u3u_3: ok
xprop_shift_4u3u_3: ok
xprop_shift_4u3u_3: ok
xprop_shift_4s3u_3: ok
xprop_shift_4s3u_3: ok
xprop_mux_1: ok
xprop_mux_1: ok
xprop_shift_4u3s_3: ok
xprop_shift_4u2s_8: ok
xprop_shift_4u3s_3: ok
xprop_shift_4u2s_8: ok
xprop_shift_4s3s_3: ok
xprop_shift_4s3s_3: ok
xprop_shift_4s2s_8: ok
xprop_shift_4s2s_8: ok
xprop_shiftx_4u3s_3: ok
xprop_shiftx_4u3s_3: ok
xprop_mux_3: ok
xprop_mux_3: ok
xprop_shiftx_4u2s_8: ok
xprop_shiftx_4u2s_8: ok
xprop_bmux_1_2: ok
xprop_bmux_1_2: ok
xprop_bmux_3_1: ok
xprop_bmux_3_1: ok
xprop_pmux_1_4: ok
xprop_pmux_1_4: ok
xprop_bmux_2_2: ok
xprop_bmux_2_2: ok
xprop_demux_3_1: ok
xprop_demux_3_1: ok
xprop_pmux_2_2: ok
xprop_pmux_2_2: ok
xprop_demux_1_2: ok
xprop_demux_1_2: ok
xprop_bweqx_1: ok
xprop_bweqx_1: ok
xprop_bwmux_1: ok
xprop_bwmux_1: ok
xprop_ff_1: ok
xprop_ff_1: ok
xprop_bweqx_3: ok
xprop_bweqx_3: ok
xprop_pmux_3_1: ok
xprop_pmux_3_1: ok
xprop_bwmux_3: ok
xprop_bwmux_3: ok
xprop_ff_3: ok
xprop_ff_3: ok
xprop_demux_2_2: ok
xprop_demux_2_2: ok
xprop_dff_1nd: ok
xprop_dff_1nd: ok
xprop_dff_1pd: ok
xprop_dff_1pd: ok
xprop_dff_3pd: ok
xprop_dff_3pd: ok
xprop_pmux_4_4: ok
xprop_pmux_4_4: ok
xprop_dff_3nd: ok
xprop_dff_3nd: ok
xprop_dffe_1nnd: ok
xprop_dffe_1nnd: ok
xprop_dffe_1pnd: ok
xprop_dffe_1pnd: ok
xprop_dffe_1ppd: ok
xprop_dffe_1ppd: ok
xprop_dffe_1npd: ok
xprop_dffe_1npd: ok
xprop_dffe_3nnd: ok
xprop_dffe_3nnd: ok
xprop_dffe_3pnd: ok
xprop_dffe_3pnd: ok
xprop_dffe_3ppd: ok
xprop_dffe_3ppd: ok
xprop_dffe_3npd: ok
xprop_dffe_3npd: ok
done
make[3]: Leaving directory '/<<PKGBUILDDIR>>/tests/xprop'
cd tests/fmt && bash run-test.sh
+ ../../yosys -p 'read_verilog initial_display.v'
+ awk '/<<<BEGIN>>>/,/<<<END>>>/ {print $0}'
+ iverilog -o iverilog-initial_display initial_display.v
+ ./iverilog-initial_display
+ diff yosys-initial_display.log iverilog-initial_display.log
+ test_always_display clk -DEVENT_CLK
+ local subtest=clk
+ shift
+ ../../yosys -p 'read_verilog -DEVENT_CLK always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk-1.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog -DEVENT_CLK always_display.v; proc; opt_expr -mux_bool; clean' --

1. Executing Verilog-2005 frontend: always_display.v
Parsing Verilog input from `always_display.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$always_display.v:4$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$always_display.v:4$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.

3. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-always_display-clk-1.v' using backend `verilog' --

4. Executing Verilog backend.

4.1. Executing BMUXMAP pass.

4.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: 0de35d2746, CPU: user 0.00s system 0.00s, MEM: 9.20 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 35% 2x opt_expr (0 sec), 23% 1x clean (0 sec), ...
+ ../../yosys -p 'read_verilog yosys-always_display-clk-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk-2.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog yosys-always_display-clk-1.v; proc; opt_expr -mux_bool; clean' --

1. Executing Verilog-2005 frontend: yosys-always_display-clk-1.v
Parsing Verilog input from `yosys-always_display-clk-1.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk-1.v:18$1'.
Cleaned up 1 empty switch.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$yosys-always_display-clk-1.v:18$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$yosys-always_display-clk-1.v:18$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.

3. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-always_display-clk-2.v' using backend `verilog' --

4. Executing Verilog backend.

4.1. Executing BMUXMAP pass.

4.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: e35e8bb689, CPU: user 0.00s system 0.00s, MEM: 9.04 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 29% 2x opt_expr (0 sec), 19% 2x read_verilog (0 sec), ...
+ diff yosys-always_display-clk-1.v yosys-always_display-clk-2.v
+ test_always_display clk_rst -DEVENT_CLK_RST
+ local subtest=clk_rst
+ shift
+ ../../yosys -p 'read_verilog -DEVENT_CLK_RST always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst-1.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog -DEVENT_CLK_RST always_display.v; proc; opt_expr -mux_bool; clean' --

1. Executing Verilog-2005 frontend: always_display.v
Parsing Verilog input from `always_display.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$always_display.v:7$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$always_display.v:7$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.

3. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-always_display-clk_rst-1.v' using backend `verilog' --

4. Executing Verilog backend.

4.1. Executing BMUXMAP pass.

4.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: c95608ddf0, CPU: user 0.00s system 0.00s, MEM: 9.08 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 35% 2x opt_expr (0 sec), 24% 1x clean (0 sec), ...
+ ../../yosys -p 'read_verilog yosys-always_display-clk_rst-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst-2.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog yosys-always_display-clk_rst-1.v; proc; opt_expr -mux_bool; clean' --

1. Executing Verilog-2005 frontend: yosys-always_display-clk_rst-1.v
Parsing Verilog input from `yosys-always_display-clk_rst-1.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk_rst-1.v:18$1'.
Cleaned up 1 empty switch.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$yosys-always_display-clk_rst-1.v:18$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$yosys-always_display-clk_rst-1.v:18$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.

3. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-always_display-clk_rst-2.v' using backend `verilog' --

4. Executing Verilog backend.

4.1. Executing BMUXMAP pass.

4.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: faf50513c3, CPU: user 0.00s system 0.00s, MEM: 9.38 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 34% 2x opt_expr (0 sec), 23% 1x clean (0 sec), ...
+ diff yosys-always_display-clk_rst-1.v yosys-always_display-clk_rst-2.v
+ test_always_display star -DEVENT_STAR
+ local subtest=star
+ shift
+ ../../yosys -p 'read_verilog -DEVENT_STAR always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star-1.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog -DEVENT_STAR always_display.v; proc; opt_expr -mux_bool; clean' --

1. Executing Verilog-2005 frontend: always_display.v
Parsing Verilog input from `always_display.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$always_display.v:10$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$always_display.v:10$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.

3. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-always_display-star-1.v' using backend `verilog' --

4. Executing Verilog backend.

4.1. Executing BMUXMAP pass.

4.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: 7b2c5274a5, CPU: user 0.00s system 0.00s, MEM: 9.13 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 36% 2x opt_expr (0 sec), 25% 1x clean (0 sec), ...
+ ../../yosys -p 'read_verilog yosys-always_display-star-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star-2.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog yosys-always_display-star-1.v; proc; opt_expr -mux_bool; clean' --

1. Executing Verilog-2005 frontend: yosys-always_display-star-1.v
Parsing Verilog input from `yosys-always_display-star-1.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-star-1.v:18$1'.
Cleaned up 1 empty switch.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$yosys-always_display-star-1.v:18$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$yosys-always_display-star-1.v:18$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.

3. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-always_display-star-2.v' using backend `verilog' --

4. Executing Verilog backend.

4.1. Executing BMUXMAP pass.

4.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: 8979c5de0b, CPU: user 0.00s system 0.01s, MEM: 9.09 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 36% 2x opt_expr (0 sec), 23% 1x clean (0 sec), ...
+ diff yosys-always_display-star-1.v yosys-always_display-star-2.v
+ test_always_display clk_en -DEVENT_CLK -DCOND_EN
+ local subtest=clk_en
+ shift
+ ../../yosys -p 'read_verilog -DEVENT_CLK -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_en-1.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog -DEVENT_CLK -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' --

1. Executing Verilog-2005 frontend: always_display.v
Parsing Verilog input from `always_display.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 0 assignments to connections.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.
<suppressed ~1 debug messages>

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$always_display.v:4$1'.
     1/1: $display$always_display.v:15$2_EN

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\m.$proc$always_display.v:4$1'.
Removing empty process `m.$proc$always_display.v:4$1'.
Cleaned up 1 empty switch.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.

3. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
<suppressed ~1 debug messages>
Removed 0 unused cells and 3 unused wires.

-- Writing to `yosys-always_display-clk_en-1.v' using backend `verilog' --

4. Executing Verilog backend.

4.1. Executing BMUXMAP pass.

4.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: 4f8a3b339c, CPU: user 0.01s system 0.00s, MEM: 9.26 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 36% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ...
+ ../../yosys -p 'read_verilog yosys-always_display-clk_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_en-2.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog yosys-always_display-clk_en-1.v; proc; opt_expr -mux_bool; clean' --

1. Executing Verilog-2005 frontend: yosys-always_display-clk_en-1.v
Parsing Verilog input from `yosys-always_display-clk_en-1.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 0 assignments to connections.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.
<suppressed ~1 debug messages>

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$yosys-always_display-clk_en-1.v:18$1'.
     1/1: $write$yosys-always_display-clk_en-1.v:20$2_EN

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk_en-1.v:18$1'.
Removing empty process `m.$proc$yosys-always_display-clk_en-1.v:18$1'.
Cleaned up 1 empty switch.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.

3. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
<suppressed ~1 debug messages>
Removed 0 unused cells and 3 unused wires.

-- Writing to `yosys-always_display-clk_en-2.v' using backend `verilog' --

4. Executing Verilog backend.

4.1. Executing BMUXMAP pass.

4.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: 51e7fa3902, CPU: user 0.01s system 0.00s, MEM: 9.64 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 37% 2x opt_expr (0 sec), 21% 1x clean (0 sec), ...
+ diff yosys-always_display-clk_en-1.v yosys-always_display-clk_en-2.v
+ test_always_display clk_rst_en -DEVENT_CLK_RST -DCOND_EN
+ local subtest=clk_rst_en
+ shift
+ ../../yosys -p 'read_verilog -DEVENT_CLK_RST -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst_en-1.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog -DEVENT_CLK_RST -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' --

1. Executing Verilog-2005 frontend: always_display.v
Parsing Verilog input from `always_display.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 0 assignments to connections.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.
<suppressed ~1 debug messages>

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$always_display.v:7$1'.
     1/1: $display$always_display.v:15$2_EN

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\m.$proc$always_display.v:7$1'.
Removing empty process `m.$proc$always_display.v:7$1'.
Cleaned up 1 empty switch.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.

3. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
<suppressed ~1 debug messages>
Removed 0 unused cells and 3 unused wires.

-- Writing to `yosys-always_display-clk_rst_en-1.v' using backend `verilog' --

4. Executing Verilog backend.

4.1. Executing BMUXMAP pass.

4.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: f9b4876f33, CPU: user 0.01s system 0.00s, MEM: 9.19 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 39% 2x opt_expr (0 sec), 22% 1x clean (0 sec), ...
+ ../../yosys -p 'read_verilog yosys-always_display-clk_rst_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst_en-2.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog yosys-always_display-clk_rst_en-1.v; proc; opt_expr -mux_bool; clean' --

1. Executing Verilog-2005 frontend: yosys-always_display-clk_rst_en-1.v
Parsing Verilog input from `yosys-always_display-clk_rst_en-1.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 0 assignments to connections.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.
<suppressed ~1 debug messages>

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$yosys-always_display-clk_rst_en-1.v:18$1'.
     1/1: $write$yosys-always_display-clk_rst_en-1.v:20$2_EN

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk_rst_en-1.v:18$1'.
Removing empty process `m.$proc$yosys-always_display-clk_rst_en-1.v:18$1'.
Cleaned up 1 empty switch.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.

3. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
<suppressed ~1 debug messages>
Removed 0 unused cells and 3 unused wires.

-- Writing to `yosys-always_display-clk_rst_en-2.v' using backend `verilog' --

4. Executing Verilog backend.

4.1. Executing BMUXMAP pass.

4.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: 0c4b4eaa9c, CPU: user 0.01s system 0.00s, MEM: 9.33 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 37% 2x opt_expr (0 sec), 21% 1x clean (0 sec), ...
+ diff yosys-always_display-clk_rst_en-1.v yosys-always_display-clk_rst_en-2.v
+ test_always_display star_en -DEVENT_STAR -DCOND_EN
+ local subtest=star_en
+ shift
+ ../../yosys -p 'read_verilog -DEVENT_STAR -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star_en-1.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog -DEVENT_STAR -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' --

1. Executing Verilog-2005 frontend: always_display.v
Parsing Verilog input from `always_display.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 0 assignments to connections.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.
<suppressed ~1 debug messages>

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$always_display.v:10$1'.
     1/1: $display$always_display.v:15$2_EN

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\m.$proc$always_display.v:10$1'.
Removing empty process `m.$proc$always_display.v:10$1'.
Cleaned up 1 empty switch.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.

3. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
<suppressed ~1 debug messages>
Removed 0 unused cells and 3 unused wires.

-- Writing to `yosys-always_display-star_en-1.v' using backend `verilog' --

4. Executing Verilog backend.

4.1. Executing BMUXMAP pass.

4.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: d6a7335726, CPU: user 0.00s system 0.01s, MEM: 9.26 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 40% 2x opt_expr (0 sec), 22% 1x clean (0 sec), ...
+ ../../yosys -p 'read_verilog yosys-always_display-star_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star_en-2.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog yosys-always_display-star_en-1.v; proc; opt_expr -mux_bool; clean' --

1. Executing Verilog-2005 frontend: yosys-always_display-star_en-1.v
Parsing Verilog input from `yosys-always_display-star_en-1.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 0 assignments to connections.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.
<suppressed ~1 debug messages>

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$yosys-always_display-star_en-1.v:18$1'.
     1/1: $write$yosys-always_display-star_en-1.v:20$2_EN

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-star_en-1.v:18$1'.
Removing empty process `m.$proc$yosys-always_display-star_en-1.v:18$1'.
Cleaned up 1 empty switch.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.

3. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
<suppressed ~1 debug messages>
Removed 0 unused cells and 3 unused wires.

-- Writing to `yosys-always_display-star_en-2.v' using backend `verilog' --

4. Executing Verilog backend.

4.1. Executing BMUXMAP pass.

4.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: 18895a2046, CPU: user 0.00s system 0.00s, MEM: 9.18 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 38% 2x opt_expr (0 sec), 22% 1x clean (0 sec), ...
+ diff yosys-always_display-star_en-1.v yosys-always_display-star_en-2.v
+ test_roundtrip dec_unsigned -DBASE_DEC -DSIGN=
+ local subtest=dec_unsigned
+ shift
+ ../../yosys -p 'read_verilog -DBASE_DEC -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-dec_unsigned-1.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog -DBASE_DEC -DSIGN= roundtrip.v; proc; clean' --

1. Executing Verilog-2005 frontend: roundtrip.v
Parsing Verilog input from `roundtrip.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$roundtrip.v:3$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$roundtrip.v:3$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-roundtrip-dec_unsigned-1.v' using backend `verilog' --

3. Executing Verilog backend.

3.1. Executing BMUXMAP pass.

3.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: bfb187b86d, CPU: user 0.01s system 0.00s, MEM: 8.94 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 24% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ...
+ ../../yosys -p 'read_verilog yosys-roundtrip-dec_unsigned-1.v; proc; clean' -o yosys-roundtrip-dec_unsigned-2.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog yosys-roundtrip-dec_unsigned-1.v; proc; clean' --

1. Executing Verilog-2005 frontend: yosys-roundtrip-dec_unsigned-1.v
Parsing Verilog input from `yosys-roundtrip-dec_unsigned-1.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-dec_unsigned-1.v:12$1'.
Cleaned up 1 empty switch.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$yosys-roundtrip-dec_unsigned-1.v:12$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$yosys-roundtrip-dec_unsigned-1.v:12$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-roundtrip-dec_unsigned-2.v' using backend `verilog' --

3. Executing Verilog backend.

3.1. Executing BMUXMAP pass.

3.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: 4be9539e85, CPU: user 0.01s system 0.00s, MEM: 9.16 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 28% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ...
+ diff yosys-roundtrip-dec_unsigned-1.v yosys-roundtrip-dec_unsigned-2.v
+ iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned roundtrip.v roundtrip_tb.v
+ ./iverilog-roundtrip-dec_unsigned
+ iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned-1 yosys-roundtrip-dec_unsigned-1.v roundtrip_tb.v
+ ./iverilog-roundtrip-dec_unsigned-1
+ iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned-2 yosys-roundtrip-dec_unsigned-2.v roundtrip_tb.v
+ ./iverilog-roundtrip-dec_unsigned-1
+ diff iverilog-roundtrip-dec_unsigned.log iverilog-roundtrip-dec_unsigned-1.log
+ diff iverilog-roundtrip-dec_unsigned-1.log iverilog-roundtrip-dec_unsigned-2.log
+ test_roundtrip dec_signed -DBASE_DEC -DSIGN=signed
+ local subtest=dec_signed
+ shift
+ ../../yosys -p 'read_verilog -DBASE_DEC -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-dec_signed-1.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog -DBASE_DEC -DSIGN=signed roundtrip.v; proc; clean' --

1. Executing Verilog-2005 frontend: roundtrip.v
Parsing Verilog input from `roundtrip.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$roundtrip.v:3$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$roundtrip.v:3$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-roundtrip-dec_signed-1.v' using backend `verilog' --

3. Executing Verilog backend.

3.1. Executing BMUXMAP pass.

3.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: bbdfa5ca92, CPU: user 0.00s system 0.00s, MEM: 9.17 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 27% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ...
+ ../../yosys -p 'read_verilog yosys-roundtrip-dec_signed-1.v; proc; clean' -o yosys-roundtrip-dec_signed-2.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog yosys-roundtrip-dec_signed-1.v; proc; clean' --

1. Executing Verilog-2005 frontend: yosys-roundtrip-dec_signed-1.v
Parsing Verilog input from `yosys-roundtrip-dec_signed-1.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-dec_signed-1.v:12$1'.
Cleaned up 1 empty switch.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$yosys-roundtrip-dec_signed-1.v:12$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$yosys-roundtrip-dec_signed-1.v:12$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-roundtrip-dec_signed-2.v' using backend `verilog' --

3. Executing Verilog backend.

3.1. Executing BMUXMAP pass.

3.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: b233de92a6, CPU: user 0.00s system 0.01s, MEM: 9.04 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 27% 1x clean (0 sec), 19% 1x opt_expr (0 sec), ...
+ diff yosys-roundtrip-dec_signed-1.v yosys-roundtrip-dec_signed-2.v
+ iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed roundtrip.v roundtrip_tb.v
+ ./iverilog-roundtrip-dec_signed
+ iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-1 yosys-roundtrip-dec_signed-1.v roundtrip_tb.v
+ ./iverilog-roundtrip-dec_signed-1
+ iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-2 yosys-roundtrip-dec_signed-2.v roundtrip_tb.v
+ ./iverilog-roundtrip-dec_signed-1
+ diff iverilog-roundtrip-dec_signed.log iverilog-roundtrip-dec_signed-1.log
+ diff iverilog-roundtrip-dec_signed-1.log iverilog-roundtrip-dec_signed-2.log
+ test_roundtrip hex_unsigned -DBASE_HEX -DSIGN=
+ local subtest=hex_unsigned
+ shift
+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-hex_unsigned-1.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' --

1. Executing Verilog-2005 frontend: roundtrip.v
Parsing Verilog input from `roundtrip.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$roundtrip.v:3$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$roundtrip.v:3$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-roundtrip-hex_unsigned-1.v' using backend `verilog' --

3. Executing Verilog backend.

3.1. Executing BMUXMAP pass.

3.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: 2377f2e106, CPU: user 0.00s system 0.00s, MEM: 9.19 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 28% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ...
+ ../../yosys -p 'read_verilog yosys-roundtrip-hex_unsigned-1.v; proc; clean' -o yosys-roundtrip-hex_unsigned-2.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog yosys-roundtrip-hex_unsigned-1.v; proc; clean' --

1. Executing Verilog-2005 frontend: yosys-roundtrip-hex_unsigned-1.v
Parsing Verilog input from `yosys-roundtrip-hex_unsigned-1.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-hex_unsigned-1.v:12$1'.
Cleaned up 1 empty switch.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$yosys-roundtrip-hex_unsigned-1.v:12$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$yosys-roundtrip-hex_unsigned-1.v:12$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-roundtrip-hex_unsigned-2.v' using backend `verilog' --

3. Executing Verilog backend.

3.1. Executing BMUXMAP pass.

3.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: 06bfea69c8, CPU: user 0.01s system 0.00s, MEM: 9.29 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 27% 1x clean (0 sec), 19% 1x opt_expr (0 sec), ...
+ diff yosys-roundtrip-hex_unsigned-1.v yosys-roundtrip-hex_unsigned-2.v
+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned roundtrip.v roundtrip_tb.v
+ ./iverilog-roundtrip-hex_unsigned
+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned-1 yosys-roundtrip-hex_unsigned-1.v roundtrip_tb.v
+ ./iverilog-roundtrip-hex_unsigned-1
+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned-2 yosys-roundtrip-hex_unsigned-2.v roundtrip_tb.v
+ ./iverilog-roundtrip-hex_unsigned-1
+ diff iverilog-roundtrip-hex_unsigned.log iverilog-roundtrip-hex_unsigned-1.log
+ diff iverilog-roundtrip-hex_unsigned-1.log iverilog-roundtrip-hex_unsigned-2.log
+ test_roundtrip hex_signed -DBASE_HEX -DSIGN=signed
+ local subtest=hex_signed
+ shift
+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-hex_signed-1.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' --

1. Executing Verilog-2005 frontend: roundtrip.v
Parsing Verilog input from `roundtrip.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$roundtrip.v:3$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$roundtrip.v:3$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-roundtrip-hex_signed-1.v' using backend `verilog' --

3. Executing Verilog backend.

3.1. Executing BMUXMAP pass.

3.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: 824c3b1e65, CPU: user 0.01s system 0.00s, MEM: 9.15 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 29% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ...
+ ../../yosys -p 'read_verilog yosys-roundtrip-hex_signed-1.v; proc; clean' -o yosys-roundtrip-hex_signed-2.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog yosys-roundtrip-hex_signed-1.v; proc; clean' --

1. Executing Verilog-2005 frontend: yosys-roundtrip-hex_signed-1.v
Parsing Verilog input from `yosys-roundtrip-hex_signed-1.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-hex_signed-1.v:12$1'.
Cleaned up 1 empty switch.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$yosys-roundtrip-hex_signed-1.v:12$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$yosys-roundtrip-hex_signed-1.v:12$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-roundtrip-hex_signed-2.v' using backend `verilog' --

3. Executing Verilog backend.

3.1. Executing BMUXMAP pass.

3.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: f18b3fa15b, CPU: user 0.00s system 0.00s, MEM: 9.01 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 28% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ...
+ diff yosys-roundtrip-hex_signed-1.v yosys-roundtrip-hex_signed-2.v
+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed roundtrip.v roundtrip_tb.v
+ ./iverilog-roundtrip-hex_signed
+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed-1 yosys-roundtrip-hex_signed-1.v roundtrip_tb.v
+ ./iverilog-roundtrip-hex_signed-1
+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed-2 yosys-roundtrip-hex_signed-2.v roundtrip_tb.v
+ ./iverilog-roundtrip-hex_signed-1
+ diff iverilog-roundtrip-hex_signed.log iverilog-roundtrip-hex_signed-1.log
+ diff iverilog-roundtrip-hex_signed-1.log iverilog-roundtrip-hex_signed-2.log
+ test_roundtrip oct_unsigned -DBASE_HEX -DSIGN=
+ local subtest=oct_unsigned
+ shift
+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-oct_unsigned-1.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' --

1. Executing Verilog-2005 frontend: roundtrip.v
Parsing Verilog input from `roundtrip.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$roundtrip.v:3$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$roundtrip.v:3$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-roundtrip-oct_unsigned-1.v' using backend `verilog' --

3. Executing Verilog backend.

3.1. Executing BMUXMAP pass.

3.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: b768358a65, CPU: user 0.00s system 0.00s, MEM: 9.21 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 28% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ...
+ ../../yosys -p 'read_verilog yosys-roundtrip-oct_unsigned-1.v; proc; clean' -o yosys-roundtrip-oct_unsigned-2.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog yosys-roundtrip-oct_unsigned-1.v; proc; clean' --

1. Executing Verilog-2005 frontend: yosys-roundtrip-oct_unsigned-1.v
Parsing Verilog input from `yosys-roundtrip-oct_unsigned-1.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-oct_unsigned-1.v:12$1'.
Cleaned up 1 empty switch.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$yosys-roundtrip-oct_unsigned-1.v:12$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$yosys-roundtrip-oct_unsigned-1.v:12$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-roundtrip-oct_unsigned-2.v' using backend `verilog' --

3. Executing Verilog backend.

3.1. Executing BMUXMAP pass.

3.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: 762621cd95, CPU: user 0.00s system 0.00s, MEM: 9.21 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 26% 1x opt_expr (0 sec), 20% 1x clean (0 sec), ...
+ diff yosys-roundtrip-oct_unsigned-1.v yosys-roundtrip-oct_unsigned-2.v
+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned roundtrip.v roundtrip_tb.v
+ ./iverilog-roundtrip-oct_unsigned
+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned-1 yosys-roundtrip-oct_unsigned-1.v roundtrip_tb.v
+ ./iverilog-roundtrip-oct_unsigned-1
+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned-2 yosys-roundtrip-oct_unsigned-2.v roundtrip_tb.v
+ ./iverilog-roundtrip-oct_unsigned-1
+ diff iverilog-roundtrip-oct_unsigned.log iverilog-roundtrip-oct_unsigned-1.log
+ diff iverilog-roundtrip-oct_unsigned-1.log iverilog-roundtrip-oct_unsigned-2.log
+ test_roundtrip oct_signed -DBASE_HEX -DSIGN=signed
+ local subtest=oct_signed
+ shift
+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-oct_signed-1.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' --

1. Executing Verilog-2005 frontend: roundtrip.v
Parsing Verilog input from `roundtrip.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$roundtrip.v:3$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$roundtrip.v:3$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-roundtrip-oct_signed-1.v' using backend `verilog' --

3. Executing Verilog backend.

3.1. Executing BMUXMAP pass.

3.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: 7ec82b15e3, CPU: user 0.01s system 0.00s, MEM: 8.91 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 26% 1x clean (0 sec), 20% 2x read_verilog (0 sec), ...
+ ../../yosys -p 'read_verilog yosys-roundtrip-oct_signed-1.v; proc; clean' -o yosys-roundtrip-oct_signed-2.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog yosys-roundtrip-oct_signed-1.v; proc; clean' --

1. Executing Verilog-2005 frontend: yosys-roundtrip-oct_signed-1.v
Parsing Verilog input from `yosys-roundtrip-oct_signed-1.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-oct_signed-1.v:12$1'.
Cleaned up 1 empty switch.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$yosys-roundtrip-oct_signed-1.v:12$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$yosys-roundtrip-oct_signed-1.v:12$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-roundtrip-oct_signed-2.v' using backend `verilog' --

3. Executing Verilog backend.

3.1. Executing BMUXMAP pass.

3.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: a747b9bd4f, CPU: user 0.01s system 0.00s, MEM: 9.25 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 24% 1x clean (0 sec), 19% 1x opt_expr (0 sec), ...
+ diff yosys-roundtrip-oct_signed-1.v yosys-roundtrip-oct_signed-2.v
+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed roundtrip.v roundtrip_tb.v
+ ./iverilog-roundtrip-oct_signed
+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed-1 yosys-roundtrip-oct_signed-1.v roundtrip_tb.v
+ ./iverilog-roundtrip-oct_signed-1
+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed-2 yosys-roundtrip-oct_signed-2.v roundtrip_tb.v
+ ./iverilog-roundtrip-oct_signed-1
+ diff iverilog-roundtrip-oct_signed.log iverilog-roundtrip-oct_signed-1.log
+ diff iverilog-roundtrip-oct_signed-1.log iverilog-roundtrip-oct_signed-2.log
+ test_roundtrip bin_unsigned -DBASE_HEX -DSIGN=
+ local subtest=bin_unsigned
+ shift
+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-bin_unsigned-1.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' --

1. Executing Verilog-2005 frontend: roundtrip.v
Parsing Verilog input from `roundtrip.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$roundtrip.v:3$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$roundtrip.v:3$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-roundtrip-bin_unsigned-1.v' using backend `verilog' --

3. Executing Verilog backend.

3.1. Executing BMUXMAP pass.

3.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: 270b564880, CPU: user 0.01s system 0.00s, MEM: 9.22 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 28% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ...
+ ../../yosys -p 'read_verilog yosys-roundtrip-bin_unsigned-1.v; proc; clean' -o yosys-roundtrip-bin_unsigned-2.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog yosys-roundtrip-bin_unsigned-1.v; proc; clean' --

1. Executing Verilog-2005 frontend: yosys-roundtrip-bin_unsigned-1.v
Parsing Verilog input from `yosys-roundtrip-bin_unsigned-1.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-bin_unsigned-1.v:12$1'.
Cleaned up 1 empty switch.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$yosys-roundtrip-bin_unsigned-1.v:12$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$yosys-roundtrip-bin_unsigned-1.v:12$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-roundtrip-bin_unsigned-2.v' using backend `verilog' --

3. Executing Verilog backend.

3.1. Executing BMUXMAP pass.

3.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: dc9f56cb10, CPU: user 0.00s system 0.00s, MEM: 9.33 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 29% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ...
+ diff yosys-roundtrip-bin_unsigned-1.v yosys-roundtrip-bin_unsigned-2.v
+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned roundtrip.v roundtrip_tb.v
+ ./iverilog-roundtrip-bin_unsigned
+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned-1 yosys-roundtrip-bin_unsigned-1.v roundtrip_tb.v
+ ./iverilog-roundtrip-bin_unsigned-1
+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned-2 yosys-roundtrip-bin_unsigned-2.v roundtrip_tb.v
+ ./iverilog-roundtrip-bin_unsigned-1
+ diff iverilog-roundtrip-bin_unsigned.log iverilog-roundtrip-bin_unsigned-1.log
+ diff iverilog-roundtrip-bin_unsigned-1.log iverilog-roundtrip-bin_unsigned-2.log
+ test_roundtrip bin_signed -DBASE_HEX -DSIGN=signed
+ local subtest=bin_signed
+ shift
+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-bin_signed-1.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' --

1. Executing Verilog-2005 frontend: roundtrip.v
Parsing Verilog input from `roundtrip.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$roundtrip.v:3$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$roundtrip.v:3$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-roundtrip-bin_signed-1.v' using backend `verilog' --

3. Executing Verilog backend.

3.1. Executing BMUXMAP pass.

3.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: 7709253822, CPU: user 0.00s system 0.00s, MEM: 8.95 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 28% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ...
+ ../../yosys -p 'read_verilog yosys-roundtrip-bin_signed-1.v; proc; clean' -o yosys-roundtrip-bin_signed-2.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog yosys-roundtrip-bin_signed-1.v; proc; clean' --

1. Executing Verilog-2005 frontend: yosys-roundtrip-bin_signed-1.v
Parsing Verilog input from `yosys-roundtrip-bin_signed-1.v' to AST representation.
Generating RTLIL representation for module `\m'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-bin_signed-1.v:12$1'.
Cleaned up 1 empty switch.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\m.$proc$yosys-roundtrip-bin_signed-1.v:12$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `m.$proc$yosys-roundtrip-bin_signed-1.v:12$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module m.
Removed 0 unused cells and 1 unused wires.

-- Writing to `yosys-roundtrip-bin_signed-2.v' using backend `verilog' --

3. Executing Verilog backend.

3.1. Executing BMUXMAP pass.

3.2. Executing DEMUXMAP pass.
Dumping module `\m'.

End of script. Logfile hash: 7e2d8271c4, CPU: user 0.01s system 0.00s, MEM: 8.96 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 26% 1x clean (0 sec), 19% 1x opt_expr (0 sec), ...
+ diff yosys-roundtrip-bin_signed-1.v yosys-roundtrip-bin_signed-2.v
+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed roundtrip.v roundtrip_tb.v
+ ./iverilog-roundtrip-bin_signed
+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed-1 yosys-roundtrip-bin_signed-1.v roundtrip_tb.v
+ ./iverilog-roundtrip-bin_signed-1
+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed-2 yosys-roundtrip-bin_signed-2.v roundtrip_tb.v
+ ./iverilog-roundtrip-bin_signed-1
+ diff iverilog-roundtrip-bin_signed.log iverilog-roundtrip-bin_signed-1.log
+ diff iverilog-roundtrip-bin_signed-1.log iverilog-roundtrip-bin_signed-2.log
+ test_cxxrtl always_full
+ local subtest=always_full
+ shift
+ ../../yosys -p 'read_verilog always_full.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_full.cc'

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog always_full.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_full.cc' --

1. Executing Verilog-2005 frontend: always_full.v
Parsing Verilog input from `always_full.v' to AST representation.
Generating RTLIL representation for module `\always_full'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 207 redundant assignments.
Promoted 207 assignments to connections.

2.4. Executing PROC_INIT pass (extract init attributes).

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\always_full.$proc$always_full.v:3$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `always_full.$proc$always_full.v:3$1'.
Cleaned up 0 empty switches.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module always_full.
Removed 0 unused cells and 207 unused wires.

3. Executing CXXRTL backend.

3.1. Executing HIERARCHY pass (managing design hierarchy).

3.1.1. Finding top of design hierarchy..
root of   0 design levels: always_full         
Automatically selected always_full as design top module.

3.1.2. Analyzing design hierarchy..
Top module:  \always_full

3.1.3. Analyzing design hierarchy..
Top module:  \always_full
Removed 0 unused modules.

3.2. Executing FLATTEN pass (flatten design).

3.3. Executing PROC pass (convert processes to netlists).

3.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

3.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

3.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 0 assignments to connections.

3.3.4. Executing PROC_INIT pass (extract init attributes).

3.3.5. Executing PROC_ARST pass (detect async resets in processes).

3.3.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

3.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers).

3.3.8. Executing PROC_DLATCH pass (convert process syncs to latches).

3.3.9. Executing PROC_DFF pass (convert process syncs to FFs).

3.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

3.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

3.3.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module always_full.

<suppressed ~11 debug messages>

End of script. Logfile hash: 6abd135c0a, CPU: user 0.02s system 0.00s, MEM: 9.32 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 28% 2x read_verilog (0 sec), 22% 2x opt_expr (0 sec), ...
+ gcc -std=c++11 -o yosys-always_full -I../.. always_full_tb.cc -lstdc++
+ ./yosys-always_full
+ iverilog -o iverilog-always_full always_full.v always_full_tb.v
+ ./iverilog-always_full
+ grep -v '\$finish called'
+ diff iverilog-always_full.log yosys-always_full.log
+ test_cxxrtl always_comb
+ local subtest=always_comb
+ shift
+ ../../yosys -p 'read_verilog always_comb.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_comb.cc'

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog always_comb.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_comb.cc' --

1. Executing Verilog-2005 frontend: always_comb.v
Parsing Verilog input from `always_comb.v' to AST representation.
Generating RTLIL representation for module `\top'.
Generating RTLIL representation for module `\sub'.
Successfully finished Verilog frontend.

2. Executing PROC pass (convert processes to netlists).

2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 4 assignments to connections.

2.4. Executing PROC_INIT pass (extract init attributes).
Found init rule in `\top.$proc$always_comb.v:3$13'.
  Set init value: \b = 1'0
Found init rule in `\top.$proc$always_comb.v:2$12'.
  Set init value: \a = 1'0

2.5. Executing PROC_ARST pass (detect async resets in processes).

2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.
<suppressed ~1 debug messages>

2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\sub.$proc$always_comb.v:23$15'.
     1/1: $display$always_comb.v:23$19_EN
Creating decoders for process `\top.$proc$always_comb.v:3$13'.
Creating decoders for process `\top.$proc$always_comb.v:2$12'.
Creating decoders for process `\top.$proc$always_comb.v:8$1'.

2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.9. Executing PROC_DFF pass (convert process syncs to FFs).
Creating register for signal `\top.\a' using process `\top.$proc$always_comb.v:8$1'.
  created $dff cell `$procdff$22' with positive edge clock.
Creating register for signal `\top.\b' using process `\top.$proc$always_comb.v:8$1'.
  created $dff cell `$procdff$23' with positive edge clock.

2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Found and cleaned up 1 empty switch in `\sub.$proc$always_comb.v:23$15'.
Removing empty process `sub.$proc$always_comb.v:23$15'.
Removing empty process `top.$proc$always_comb.v:3$13'.
Removing empty process `top.$proc$always_comb.v:2$12'.
Removing empty process `top.$proc$always_comb.v:8$1'.
Cleaned up 1 empty switch.

2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module sub.
Optimizing module top.
Removed 0 unused cells and 7 unused wires.

3. Executing CXXRTL backend.

3.1. Executing HIERARCHY pass (managing design hierarchy).

3.1.1. Finding top of design hierarchy..
root of   0 design levels: sub                 
root of   1 design levels: top                 
Automatically selected top as design top module.

3.1.2. Analyzing design hierarchy..
Top module:  \top
Used module:     \sub

3.1.3. Analyzing design hierarchy..
Top module:  \top
Used module:     \sub
Removed 0 unused modules.

3.2. Executing FLATTEN pass (flatten design).
Deleting now unused module sub.
<suppressed ~1 debug messages>

3.3. Executing PROC pass (convert processes to netlists).

3.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

3.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

3.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 0 assignments to connections.

3.3.4. Executing PROC_INIT pass (extract init attributes).

3.3.5. Executing PROC_ARST pass (detect async resets in processes).

3.3.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

3.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers).

3.3.8. Executing PROC_DLATCH pass (convert process syncs to latches).

3.3.9. Executing PROC_DFF pass (convert process syncs to FFs).

3.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

3.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

3.3.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module top.

<suppressed ~11 debug messages>

End of script. Logfile hash: 03fe26efda, CPU: user 0.00s system 0.01s, MEM: 9.45 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 28% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ...
+ gcc -std=c++11 -o yosys-always_comb -I../.. always_comb_tb.cc -lstdc++
+ ./yosys-always_comb
+ iverilog -o iverilog-always_comb always_comb.v always_comb_tb.v
+ ./iverilog-always_comb
+ grep -v '\$finish called'
+ diff iverilog-always_comb.log yosys-always_comb.log
+ ../../yosys -p 'read_verilog always_full.v; prep; clean' -o yosys-always_full-1.v

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog always_full.v; prep; clean' --

1. Executing Verilog-2005 frontend: always_full.v
Parsing Verilog input from `always_full.v' to AST representation.
Generating RTLIL representation for module `\always_full'.
Successfully finished Verilog frontend.

2. Executing PREP pass.

2.1. Executing HIERARCHY pass (managing design hierarchy).

2.2. Executing PROC pass (convert processes to netlists).

2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.

2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 207 redundant assignments.
Promoted 207 assignments to connections.

2.2.4. Executing PROC_INIT pass (extract init attributes).

2.2.5. Executing PROC_ARST pass (detect async resets in processes).

2.2.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\always_full.$proc$always_full.v:3$1'.

2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.2.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `always_full.$proc$always_full.v:3$1'.
Cleaned up 0 empty switches.

2.2.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module always_full.

2.3. Executing OPT_EXPR pass (perform const folding).
Optimizing module always_full.

2.4. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \always_full..
Removed 0 unused cells and 207 unused wires.
<suppressed ~1 debug messages>

2.5. Executing CHECK pass (checking for obvious problems).
Checking module always_full...
Found and reported 0 problems.

2.6. Executing OPT pass (performing simple optimizations).

2.6.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module always_full.

2.6.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\always_full'.
Removed a total of 0 cells.

2.6.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \always_full..
  Creating internal representation of mux trees.
  No muxes found in this module.
Removed 0 multiplexer ports.

2.6.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
  Optimizing cells in module \always_full.
Performed a total of 0 changes.

2.6.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\always_full'.
Removed a total of 0 cells.

2.6.6. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \always_full..

2.6.7. Executing OPT_EXPR pass (perform const folding).
Optimizing module always_full.

2.6.8. Finished OPT passes. (There is nothing left to do.)

2.7. Executing WREDUCE pass (reducing word size of cells).

2.8. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \always_full..

2.9. Executing MEMORY_COLLECT pass (generating $mem cells).

2.10. Executing OPT pass (performing simple optimizations).

2.10.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module always_full.

2.10.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\always_full'.
Removed a total of 0 cells.

2.10.3. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \always_full..

2.10.4. Finished fast OPT passes.

2.11. Printing statistics.

=== always_full ===

   Number of wires:                  1
   Number of wire bits:              1
   Number of public wires:           1
   Number of public wire bits:       1
   Number of memories:               0
   Number of memory bits:            0
   Number of processes:              0
   Number of cells:                207
     $print                        207

2.12. Executing CHECK pass (checking for obvious problems).
Checking module always_full...
Found and reported 0 problems.

-- Writing to `yosys-always_full-1.v' using backend `verilog' --

3. Executing Verilog backend.

3.1. Executing BMUXMAP pass.

3.2. Executing DEMUXMAP pass.
Dumping module `\always_full'.

End of script. Logfile hash: cfd5b76053, CPU: user 0.03s system 0.01s, MEM: 9.05 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 20% 5x opt_expr (0 sec), 19% 4x opt_clean (0 sec), ...
+ iverilog -o iverilog-always_full-1 yosys-always_full-1.v always_full_tb.v
+ ./iverilog-always_full-1
+ grep -v '\$finish called'
+ diff iverilog-always_full.log iverilog-always_full-1.log
+ ../../yosys -p 'read_verilog display_lm.v'
+ ../../yosys -p 'read_verilog display_lm.v; write_cxxrtl yosys-display_lm.cc'

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire at yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.33 (git sha1 2584903a060)


-- Running command `read_verilog display_lm.v; write_cxxrtl yosys-display_lm.cc' --

1. Executing Verilog-2005 frontend: display_lm.v
Parsing Verilog input from `display_lm.v' to AST representation.
Generating RTLIL representation for module `\top'.
Generating RTLIL representation for module `\mid'.
Generating RTLIL representation for module `\bot'.
%l: \bot
%m: \bot
Successfully finished Verilog frontend.

2. Executing CXXRTL backend.

2.1. Executing HIERARCHY pass (managing design hierarchy).

2.1.1. Finding top of design hierarchy..
root of   0 design levels: bot                 
root of   1 design levels: mid                 
root of   2 design levels: top                 
Automatically selected top as design top module.

2.1.2. Analyzing design hierarchy..
Top module:  \top
Used module:     \mid
Used module:         \bot

2.1.3. Analyzing design hierarchy..
Top module:  \top
Used module:     \mid
Used module:         \bot
Removed 0 unused modules.

2.2. Executing FLATTEN pass (flatten design).
Deleting now unused module bot.
Deleting now unused module mid.
<suppressed ~2 debug messages>

2.3. Executing PROC pass (convert processes to netlists).

2.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `top.$flatten\mid_uut.\bot_uut.$proc$display_lm.v:0$3'.
Cleaned up 0 empty switches.

2.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.

2.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 1 redundant assignment.
Promoted 1 assignment to connection.

2.3.4. Executing PROC_INIT pass (extract init attributes).

2.3.5. Executing PROC_ARST pass (detect async resets in processes).

2.3.6. Executing PROC_ROM pass (convert switches to ROMs).
Converted 0 switches.

2.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers).
Creating decoders for process `\top.$flatten\mid_uut.\bot_uut.$proc$display_lm.v:11$1'.

2.3.8. Executing PROC_DLATCH pass (convert process syncs to latches).

2.3.9. Executing PROC_DFF pass (convert process syncs to FFs).

2.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells).

2.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Removing empty process `top.$flatten\mid_uut.\bot_uut.$proc$display_lm.v:11$1'.
Cleaned up 0 empty switches.

2.3.12. Executing OPT_EXPR pass (perform const folding).
Optimizing module top.

<suppressed ~11 debug messages>

End of script. Logfile hash: 1b689717a7, CPU: user 0.00s system 0.00s, MEM: 9.54 MB peak
Yosys 0.33 (git sha1 2584903a060)
Time spent: 29% 1x opt_expr (0 sec), 26% 2x read_verilog (0 sec), ...
+ gcc -std=c++11 -o yosys-display_lm_cc -I../.. display_lm_tb.cc -lstdc++
+ ./yosys-display_lm_cc
+ for log in yosys-display_lm.log yosys-display_lm_cc.log
+ grep '^%l: \\bot$' yosys-display_lm.log
%l: \bot
+ grep '^%m: \\bot$' yosys-display_lm.log
%m: \bot
+ for log in yosys-display_lm.log yosys-display_lm_cc.log
+ grep '^%l: \\bot$' yosys-display_lm_cc.log
%l: \bot
+ grep '^%m: \\bot$' yosys-display_lm_cc.log
%m: \bot

  Passed "make test".

make[2]: Leaving directory '/<<PKGBUILDDIR>>'
	rm -fr -- /tmp/dh-xdg-rundir-dbJcnTA2
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   create-stamp debian/debhelper-build-stamp
   dh_prep
	rm -f -- debian/yosys.substvars debian/yosys-dev.substvars debian/yosys-abc.substvars debian/yosys-doc.substvars
	rm -fr -- debian/.debhelper/generated/yosys/ debian/yosys/ debian/tmp/ debian/.debhelper/generated/yosys-dev/ debian/yosys-dev/ debian/.debhelper/generated/yosys-abc/ debian/yosys-abc/ debian/.debhelper/generated/yosys-doc/ debian/yosys-doc/
   dh_auto_install
	install -m0755 -d /<<PKGBUILDDIR>>/debian/tmp
	make -j16 install DESTDIR=/<<PKGBUILDDIR>>/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true"
make[1]: Entering directory '/<<PKGBUILDDIR>>'
[Makefile.conf] CONFIG := gcc
[Makefile.conf] ABCPULL=0
[Makefile.conf] STRIP=:
mkdir -p /<<PKGBUILDDIR>>/debian/tmp/usr/bin
cp yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc yosys-witness /<<PKGBUILDDIR>>/debian/tmp/usr/bin
: -S /<<PKGBUILDDIR>>/debian/tmp/usr/bin/yosys
: /<<PKGBUILDDIR>>/debian/tmp/usr/bin/yosys-abc
: /<<PKGBUILDDIR>>/debian/tmp/usr/bin/yosys-filterlib
mkdir -p /<<PKGBUILDDIR>>/debian/tmp/usr/share/yosys
cp -r share/. /<<PKGBUILDDIR>>/debian/tmp/usr/share/yosys/.
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
	rm -f debian/yosys-abc.debhelper.log debian/yosys-dev.debhelper.log debian/yosys.debhelper.log
   debian/rules execute_after_dh_auto_install
make[1]: Entering directory '/<<PKGBUILDDIR>>'
chmod a-x debian/tmp/usr/share/yosys/achronix/speedster22i/cells*.v
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_install
	install -m0755 -d debian/yosys//usr/bin
	cp --reflink=auto -a debian/tmp/usr/bin/yosys debian/tmp/usr/bin/yosys-filterlib debian/tmp/usr/bin/yosys-smtbmc debian/tmp/usr/bin/yosys-witness debian/yosys//usr/bin/
	install -m0755 -d debian/yosys//usr/share/yosys
	cp --reflink=auto -a debian/tmp/usr/share/yosys/abc9_map.v debian/tmp/usr/share/yosys/abc9_model.v debian/tmp/usr/share/yosys/abc9_unmap.v debian/tmp/usr/share/yosys/achronix debian/tmp/usr/share/yosys/adff2dff.v debian/tmp/usr/share/yosys/anlogic/ debian/tmp/usr/share/yosys/cells.lib debian/tmp/usr/share/yosys/cmp2lcu.v debian/tmp/usr/share/yosys/cmp2lut.v debian/tmp/usr/share/yosys/coolrunner2 debian/tmp/usr/share/yosys/dff2ff.v debian/tmp/usr/share/yosys/ecp5 debian/tmp/usr/share/yosys/efinix debian/tmp/usr/share/yosys/fabulous debian/tmp/usr/share/yosys/gate2lut.v debian/tmp/usr/share/yosys/gatemate debian/tmp/usr/share/yosys/gowin debian/tmp/usr/share/yosys/greenpak4 debian/tmp/usr/share/yosys/ice40 debian/tmp/usr/share/yosys/intel debian/tmp/usr/share/yosys/intel_alm debian/tmp/usr/share/yosys/lattice debian/tmp/usr/share/yosys/mul2dsp.v debian/tmp/usr/share/yosys/nexus debian/tmp/usr/share/yosys/pmux2mux.v debian/tmp/usr/share/yosys/quicklogic debian/tmp/usr/share/yosys/sf2/ debian/tmp/usr/share/yosys/simcells.v debian/tmp/usr/share/yosys/simlib.v debian/tmp/usr/share/yosys/smtmap.v debian/tmp/usr/share/yosys/techmap.v debian/tmp/usr/share/yosys/xilinx debian/yosys//usr/share/yosys/
	install -m0755 -d debian/yosys/usr/share/yosys
	cp --reflink=auto -a ./debian/tmp/usr/share/yosys/python3/smtio.py ./debian/tmp/usr/share/yosys/python3/ywio.py debian/yosys/usr/share/yosys/
	install -m0755 -d debian/yosys-dev//usr/bin
	cp --reflink=auto -a debian/tmp/usr/bin/yosys-config debian/yosys-dev//usr/bin/
	install -m0755 -d debian/yosys-dev//usr/share/yosys/include
	cp --reflink=auto -a debian/tmp/usr/share/yosys/include/backends debian/tmp/usr/share/yosys/include/frontends debian/tmp/usr/share/yosys/include/kernel debian/tmp/usr/share/yosys/include/libs debian/tmp/usr/share/yosys/include/passes debian/yosys-dev//usr/share/yosys/include/
	install -m0755 -d debian/yosys-abc//usr/bin
	cp --reflink=auto -a debian/tmp/usr/bin/yosys-abc debian/yosys-abc//usr/bin/
	install -m0755 -d debian/yosys-doc/usr/share/doc/yosys
	cp --reflink=auto -a ./manual/presentation.pdf debian/yosys-doc/usr/share/doc/yosys/
	install -m0755 -d debian/yosys-doc/usr/share/doc/yosys/
	cp --reflink=auto -a ./docs/build/latex/yosyshqyosys.pdf debian/yosys-doc/usr/share/doc/yosys//
   dh_installdocs
	install -m0755 -d debian/yosys/usr/share/doc/yosys
	install -m0755 -d debian/yosys/usr/share/doc/yosys
	cp --reflink=auto -a ./README.md debian/yosys/usr/share/doc/yosys
	chmod -R u\+rw,go=rX debian/yosys/usr/share/doc
	install -p -m0644 debian/copyright debian/yosys/usr/share/doc/yosys/copyright
	install -m0755 -d debian/yosys-dev/usr/share/doc/yosys-dev
	install -p -m0644 debian/copyright debian/yosys-dev/usr/share/doc/yosys-dev/copyright
	install -m0755 -d debian/yosys-abc/usr/share/doc/yosys-abc
	install -p -m0644 debian/copyright debian/yosys-abc/usr/share/doc/yosys-abc/copyright
	install -m0755 -d debian/yosys-doc/usr/share/doc/yosys-doc
	install -p -m0644 debian/copyright debian/yosys-doc/usr/share/doc/yosys-doc/copyright
	install -m0755 -d debian/yosys-doc/usr/share/doc-base/
	install -p -m0644 debian/yosys-doc.doc-base debian/yosys-doc/usr/share/doc-base/yosys-doc.yosys-manual
   dh_installchangelogs
	install -m0755 -d debian/yosys/usr/share/doc/yosys
	install -p -m0644 debian/.debhelper/generated/yosys/dh_installchangelogs.dch.trimmed debian/yosys/usr/share/doc/yosys/changelog.Debian
	install -p -m0644 ./CHANGELOG debian/yosys/usr/share/doc/yosys/changelog
	install -m0755 -d debian/yosys-dev/usr/share/doc/yosys-dev
	install -p -m0644 debian/.debhelper/generated/yosys-dev/dh_installchangelogs.dch.trimmed debian/yosys-dev/usr/share/doc/yosys-dev/changelog.Debian
	install -p -m0644 ./CHANGELOG debian/yosys-dev/usr/share/doc/yosys-dev/changelog
	install -m0755 -d debian/yosys-abc/usr/share/doc/yosys-abc
	install -p -m0644 debian/.debhelper/generated/yosys-abc/dh_installchangelogs.dch.trimmed debian/yosys-abc/usr/share/doc/yosys-abc/changelog.Debian
	install -p -m0644 ./CHANGELOG debian/yosys-abc/usr/share/doc/yosys-abc/changelog
	install -m0755 -d debian/yosys-doc/usr/share/doc/yosys-doc
	install -p -m0644 debian/.debhelper/generated/yosys-doc/dh_installchangelogs.dch.trimmed debian/yosys-doc/usr/share/doc/yosys-doc/changelog.Debian
	install -p -m0644 ./CHANGELOG debian/yosys-doc/usr/share/doc/yosys-doc/changelog
   debian/rules execute_before_dh_installman
make[1]: Entering directory '/<<PKGBUILDDIR>>'
cd debian/man ; CHANGELOG_DATE="" ./genmanpages.sh
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_installman
	install -m0755 -d debian/yosys-abc/usr/share/man/man1/
	install -p -m0644 ./debian/man/yosys-abc.1 debian/yosys-abc/usr/share/man/man1/yosys-abc.1
	install -m0755 -d debian/yosys-dev/usr/share/man/man1/
	install -p -m0644 ./debian/yosys-config.1 debian/yosys-dev/usr/share/man/man1/yosys-config.1
	install -m0755 -d debian/yosys/usr/share/man/man1/
	install -p -m0644 ./debian/yosys.1 debian/yosys/usr/share/man/man1/yosys.1
	install -m0755 -d debian/yosys/usr/share/man/man1/
	install -p -m0644 ./debian/yosys-filterlib.1 debian/yosys/usr/share/man/man1/yosys-filterlib.1
	install -m0755 -d debian/yosys/usr/share/man/man1/
	install -p -m0644 ./debian/man/yosys-smtbmc.1 debian/yosys/usr/share/man/man1/yosys-smtbmc.1
	install -m0755 -d debian/yosys/usr/share/man/man1/
	install -p -m0644 ./debian/man/yosys-witness.1 debian/yosys/usr/share/man/man1/yosys-witness.1
	man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-filterlib.1
	man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-smtbmc.1
	man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-witness.1
	man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys.1
	man-recode --to-code UTF-8 --suffix .dh-new debian/yosys-dev/usr/share/man/man1/yosys-config.1
	man-recode --to-code UTF-8 --suffix .dh-new debian/yosys-abc/usr/share/man/man1/yosys-abc.1
	mv debian/yosys-dev/usr/share/man/man1/yosys-config.1.dh-new debian/yosys-dev/usr/share/man/man1/yosys-config.1
	chmod 0644 -- debian/yosys-dev/usr/share/man/man1/yosys-config.1
	mv debian/yosys/usr/share/man/man1/yosys.1.dh-new debian/yosys/usr/share/man/man1/yosys.1
	chmod 0644 -- debian/yosys/usr/share/man/man1/yosys.1
	mv debian/yosys/usr/share/man/man1/yosys-witness.1.dh-new debian/yosys/usr/share/man/man1/yosys-witness.1
	chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-witness.1
	mv debian/yosys/usr/share/man/man1/yosys-filterlib.1.dh-new debian/yosys/usr/share/man/man1/yosys-filterlib.1
	chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-filterlib.1
	mv debian/yosys/usr/share/man/man1/yosys-smtbmc.1.dh-new debian/yosys/usr/share/man/man1/yosys-smtbmc.1
	chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-smtbmc.1
	mv debian/yosys-abc/usr/share/man/man1/yosys-abc.1.dh-new debian/yosys-abc/usr/share/man/man1/yosys-abc.1
	chmod 0644 -- debian/yosys-abc/usr/share/man/man1/yosys-abc.1
   dh_python3
D: dh_python3 dh_python3:179: version: 6.20230825
D: dh_python3 dh_python3:180: argv: ['/usr/bin/dh_python3']
D: dh_python3 dh_python3:181: options: Namespace(guess_deps=True, skip_private=False, verbose=True, arch=None, package=None, no_package=None, remaining_packages=False, compile_all=False, vrange=None, regexpr=None, accept_upstream_versions=False, depends=None, depends_section=None, recommends=None, recommends_section=None, suggests=None, suggests_section=None, requires=None, shebang=None, ignore_shebangs=False, clean_dbg_pkg=True, no_ext_rename=False, no_shebang_rewrite=False, private_dir=None, O=None)
D: dh_python3 dh_python3:182: supported Python versions: 3.11 (default=3.11)
D: dh_python3 debhelper:175: skipping package yosys-abc (missing ${python3:Depends} in Depends/Recommends)
D: dh_python3 debhelper:175: skipping package yosys-doc (missing ${python3:Depends} in Depends/Recommends)
D: dh_python3 debhelper:183: source=yosys, binary packages=['yosys', 'yosys-dev']
D: dh_python3 dh_python3:204: processing package yosys...
D: dh_python3 tools:102: fix_shebang (debian/yosys/usr/bin/yosys): cannot parse binary file
D: dh_python3 tools:102: fix_shebang (debian/yosys/usr/bin/yosys-filterlib): cannot parse binary file
I: dh_python3 tools:114: replacing shebang in debian/yosys/usr/bin/yosys-smtbmc
I: dh_python3 tools:114: replacing shebang in debian/yosys/usr/bin/yosys-witness
D: dh_python3 fs:341: package yosys details = {'requires.txt': set(), 'egg-info': set(), 'dist-info': set(), 'nsp.txt': set(), 'shebangs': {/usr/bin/python3, /usr/bin/python3}, 'public_vers': set(), 'private_dirs': {'/usr/share/yosys': {'compile': True}}, 'compile': False, 'ext_vers': set(), 'ext_no_version': set()}
D: dh_python3 depends:117: generating dependencies for package yosys
D: dh_python3 depends:281: D={'python3:any'}; R=[]; S=[]; E=[], B=[]; RT=[('/usr/share/yosys', '')]
D: dh_python3 dh_python3:204: processing package yosys-dev...
D: dh_python3 tools:102: fix_shebang (debian/yosys-dev/usr/bin/yosys-config): doesn't look like a shebang: #!/usr/bin/env bash
D: dh_python3 fs:341: package yosys-dev details = {'requires.txt': set(), 'egg-info': set(), 'dist-info': set(), 'nsp.txt': set(), 'shebangs': set(), 'public_vers': set(), 'private_dirs': {}, 'compile': False, 'ext_vers': set(), 'ext_no_version': set()}
D: dh_python3 depends:117: generating dependencies for package yosys-dev
D: dh_python3 depends:281: D=set(); R=[]; S=[]; E=[], B=[]; RT=[]
   dh_perl
   dh_link
	install -m0755 -d debian/yosys-doc/usr/share/doc/yosys
	rm -f debian/yosys-doc/usr/share/doc/yosys/manual.pdf
	ln -s yosyshqyosys.pdf debian/yosys-doc/usr/share/doc/yosys/manual.pdf
   dh_strip_nondeterminism
   debian/rules override_dh_compress
make[1]: Entering directory '/<<PKGBUILDDIR>>'
dh_compress --exclude=.pdf
	cd debian/yosys
	cd debian/yosys-abc
	cd debian/yosys-dev
	cd debian/yosys-doc
	chmod a-x usr/share/doc/yosys-doc/changelog usr/share/doc/yosys-doc/changelog.Debian
	chmod a-x usr/share/doc/yosys/README.md usr/share/doc/yosys/changelog usr/share/doc/yosys/changelog.Debian usr/share/man/man1/yosys-filterlib.1 usr/share/man/man1/yosys-smtbmc.1 usr/share/man/man1/yosys-witness.1 usr/share/man/man1/yosys.1
	chmod a-x usr/share/doc/yosys-abc/changelog usr/share/doc/yosys-abc/changelog.Debian usr/share/man/man1/yosys-abc.1
	chmod a-x usr/share/doc/yosys-dev/changelog usr/share/doc/yosys-dev/changelog.Debian usr/share/man/man1/yosys-config.1
	gzip -9nf usr/share/doc/yosys-doc/changelog usr/share/doc/yosys-doc/changelog.Debian
	gzip -9nf usr/share/doc/yosys-abc/changelog usr/share/doc/yosys-abc/changelog.Debian usr/share/man/man1/yosys-abc.1
	gzip -9nf usr/share/doc/yosys/README.md usr/share/doc/yosys/changelog usr/share/doc/yosys/changelog.Debian usr/share/man/man1/yosys-filterlib.1 usr/share/man/man1/yosys-smtbmc.1 usr/share/man/man1/yosys-witness.1 usr/share/man/man1/yosys.1
	gzip -9nf usr/share/doc/yosys-dev/changelog usr/share/doc/yosys-dev/changelog.Debian usr/share/man/man1/yosys-config.1
	cd '/<<PKGBUILDDIR>>'
	cd '/<<PKGBUILDDIR>>'
	cd '/<<PKGBUILDDIR>>'
	cd '/<<PKGBUILDDIR>>'
make[1]: Leaving directory '/<<PKGBUILDDIR>>'
   dh_fixperms
	find debian/yosys ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s
	find debian/yosys-dev ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s
	find debian/yosys-abc ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s
	find debian/yosys-doc ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s
	find debian/yosys-abc/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-abc/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644
	find debian/yosys-doc/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-doc/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644
	find debian/yosys-dev/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-dev/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644
	find debian/yosys/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644
	find debian/yosys-doc/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755
	find debian/yosys-abc/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755
	find debian/yosys-dev/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755
	find debian/yosys/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755
	find debian/yosys-doc -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644
	find debian/yosys-abc/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644
	find debian/yosys-dev/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644
	find debian/yosys/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644
	find debian/yosys-abc -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644
	find debian/yosys-dev -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644
	find debian/yosys -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644
	find debian/yosys-abc/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x
	find debian/yosys-dev/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x
	find debian/yosys/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x
   dh_missing
   dh_dwz -a
	dwz -- debian/yosys-abc/usr/bin/yosys-abc
	install -m0755 -d debian/yosys/usr/lib/debug/.dwz/x86_64-linux-gnu
	dwz -mdebian/yosys/usr/lib/debug/.dwz/x86_64-linux-gnu/yosys.debug -M/usr/lib/debug/.dwz/x86_64-linux-gnu/yosys.debug -- debian/yosys/usr/bin/yosys debian/yosys/usr/bin/yosys-filterlib
dwz: Too few files for multifile optimization
dh_dwz: warning: No dwz multifile created, but not explicitly requested either so ignoring it.
dh_dwz: warning: Common issues include no debug information at all (missing -g) and
dh_dwz: warning: compressed debug information (#931891).
	rmdir -p --ignore-fail-on-non-empty debian/yosys/usr/lib/debug/.dwz/x86_64-linux-gnu
   dh_strip -a
	install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/e9
	objcopy --only-keep-debug --compress-debug-sections debian/yosys-abc/usr/bin/yosys-abc debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/e9/96a2fc98d5b1ce4af9ef8c90af381a7d695e48.debug
	install -m0755 -d debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/e0
	objcopy --only-keep-debug --compress-debug-sections debian/yosys/usr/bin/yosys debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/e0/ac33f7f3c9bf3c96eddd6b1ecf15e656cb5027.debug
	chmod 0644 -- debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/e9/96a2fc98d5b1ce4af9ef8c90af381a7d695e48.debug
	strip --remove-section=.comment --remove-section=.note debian/yosys-abc/usr/bin/yosys-abc
	objcopy --add-gnu-debuglink debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/e9/96a2fc98d5b1ce4af9ef8c90af381a7d695e48.debug debian/yosys-abc/usr/bin/yosys-abc
	install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/usr/share/doc
	ln -s yosys-abc debian/.debhelper/yosys-abc/dbgsym-root/usr/share/doc/yosys-abc-dbgsym
	install -m0755 -d debian/.debhelper/yosys-abc
	chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/e0/ac33f7f3c9bf3c96eddd6b1ecf15e656cb5027.debug
	strip --remove-section=.comment --remove-section=.note debian/yosys/usr/bin/yosys
	objcopy --add-gnu-debuglink debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/e0/ac33f7f3c9bf3c96eddd6b1ecf15e656cb5027.debug debian/yosys/usr/bin/yosys
	install -m0755 -d debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/0e
	objcopy --only-keep-debug --compress-debug-sections debian/yosys/usr/bin/yosys-filterlib debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/0e/153b2559d98b5f2b21aa6fc8a466b8ca67dffb.debug
	chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/0e/153b2559d98b5f2b21aa6fc8a466b8ca67dffb.debug
	strip --remove-section=.comment --remove-section=.note debian/yosys/usr/bin/yosys-filterlib
	objcopy --add-gnu-debuglink debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/0e/153b2559d98b5f2b21aa6fc8a466b8ca67dffb.debug debian/yosys/usr/bin/yosys-filterlib
	install -m0755 -d debian/.debhelper/yosys/dbgsym-root/usr/share/doc
	ln -s yosys debian/.debhelper/yosys/dbgsym-root/usr/share/doc/yosys-dbgsym
	install -m0755 -d debian/.debhelper/yosys
   dh_makeshlibs -a
	rm -f debian/yosys/DEBIAN/shlibs
	rm -f debian/yosys-dev/DEBIAN/shlibs
	rm -f debian/yosys-abc/DEBIAN/shlibs
   dh_shlibdeps -a
	install -m0755 -d debian/yosys-abc/DEBIAN
	dpkg-shlibdeps -Tdebian/yosys-abc.substvars debian/yosys-abc/usr/bin/yosys-abc
	install -m0755 -d debian/yosys/DEBIAN
	dpkg-shlibdeps -Tdebian/yosys.substvars debian/yosys/usr/bin/yosys debian/yosys/usr/bin/yosys-filterlib
   dh_installdeb
	install -m0755 -d debian/yosys/DEBIAN
	printf '#!/bin/sh\nset -e\n' > debian/yosys/DEBIAN/postinst
	cat debian/yosys.postinst.debhelper >> debian/yosys/DEBIAN/postinst
	chmod 0755 -- debian/yosys/DEBIAN/postinst
	printf '#!/bin/sh\nset -e\n' > debian/yosys/DEBIAN/prerm
	cat debian/yosys.prerm.debhelper >> debian/yosys/DEBIAN/prerm
	chmod 0755 -- debian/yosys/DEBIAN/prerm
	install -m0755 -d debian/yosys-dev/DEBIAN
	install -m0755 -d debian/yosys-abc/DEBIAN
	install -m0755 -d debian/yosys-doc/DEBIAN
   dh_gencontrol
	install -m0755 -d debian/yosys-doc/DEBIAN
	echo misc:Depends= >> debian/yosys-doc.substvars
	echo misc:Pre-Depends= >> debian/yosys-doc.substvars
	dpkg-gencontrol -pyosys-doc -ldebian/changelog -Tdebian/yosys-doc.substvars -Pdebian/yosys-doc
	install -m0755 -d debian/yosys/DEBIAN
	echo misc:Depends= >> debian/yosys.substvars
	echo misc:Pre-Depends= >> debian/yosys.substvars
	install -m0755 -d debian/.debhelper/yosys/dbgsym-root/DEBIAN
	dpkg-gencontrol -pyosys -ldebian/changelog -Tdebian/yosys.substvars -Pdebian/.debhelper/yosys/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -UBuilt-Using -DAuto-Built-Package=debug-symbols -UProtected -DPackage=yosys-dbgsym "-DDepends=yosys (= \${binary:Version})" "-DDescription=debug symbols for yosys" "-DBuild-Ids=0e153b2559d98b5f2b21aa6fc8a466b8ca67dffb e0ac33f7f3c9bf3c96eddd6b1ecf15e656cb5027" -DSection=debug -UMulti-Arch -UReplaces -UBreaks
	install -m0755 -d debian/yosys-dev/DEBIAN
	echo misc:Depends= >> debian/yosys-dev.substvars
	echo misc:Pre-Depends= >> debian/yosys-dev.substvars
	dpkg-gencontrol -pyosys-dev -ldebian/changelog -Tdebian/yosys-dev.substvars -Pdebian/yosys-dev
	install -m0755 -d debian/yosys-abc/DEBIAN
	echo misc:Depends= >> debian/yosys-abc.substvars
	echo misc:Pre-Depends= >> debian/yosys-abc.substvars
	install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN
	dpkg-gencontrol -pyosys-abc -ldebian/changelog -Tdebian/yosys-abc.substvars -Pdebian/.debhelper/yosys-abc/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -UBuilt-Using -DAuto-Built-Package=debug-symbols -UProtected -DPackage=yosys-abc-dbgsym "-DDepends=yosys-abc (= \${binary:Version})" "-DDescription=debug symbols for yosys-abc" -DBuild-Ids=e996a2fc98d5b1ce4af9ef8c90af381a7d695e48 -DSection=debug -UMulti-Arch -UReplaces -UBreaks
	chmod 0644 -- debian/yosys-doc/DEBIAN/control
	chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/DEBIAN/control
	dpkg-gencontrol -pyosys -ldebian/changelog -Tdebian/yosys.substvars -Pdebian/yosys
dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${shlibs:Depends} used, but is not defined
dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${python3:Depends} used, but is not defined
	chmod 0644 -- debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN/control
	dpkg-gencontrol -pyosys-abc -ldebian/changelog -Tdebian/yosys-abc.substvars -Pdebian/yosys-abc
	chmod 0644 -- debian/yosys-dev/DEBIAN/control
	chmod 0644 -- debian/yosys/DEBIAN/control
	chmod 0644 -- debian/yosys-abc/DEBIAN/control
   dh_md5sums
	install -m0755 -d debian/yosys-doc/DEBIAN
	install -m0755 -d debian/yosys/DEBIAN
	install -m0755 -d debian/yosys-dev/DEBIAN
	install -m0755 -d debian/yosys-abc/DEBIAN
	cd debian/yosys-doc >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums
	cd debian/yosys-abc >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums
	cd debian/yosys-dev >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums
	cd debian/yosys >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums
	chmod 0644 -- debian/yosys-dev/DEBIAN/md5sums
	chmod 0644 -- debian/yosys-doc/DEBIAN/md5sums
	chmod 0644 -- debian/yosys/DEBIAN/md5sums
	install -m0755 -d debian/.debhelper/yosys/dbgsym-root/DEBIAN
	chmod 0644 -- debian/yosys-abc/DEBIAN/md5sums
	install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN
	cd debian/.debhelper/yosys/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums
	cd debian/.debhelper/yosys-abc/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums
	chmod 0644 -- debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN/md5sums
	chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/DEBIAN/md5sums
   dh_builddeb
	dpkg-deb --root-owner-group --build debian/yosys ..
	dpkg-deb --root-owner-group --build debian/.debhelper/yosys-abc/dbgsym-root ..
	dpkg-deb --root-owner-group --build debian/yosys-dev ..
	dpkg-deb --root-owner-group --build debian/yosys-abc ..
	dpkg-deb --root-owner-group --build debian/yosys-doc ..
	dpkg-deb --root-owner-group --build debian/.debhelper/yosys/dbgsym-root ..
dpkg-deb: building package 'yosys-abc-dbgsym' in '../yosys-abc-dbgsym_0.33-5_amd64.deb'.
dpkg-deb: building package 'yosys' in '../yosys_0.33-5_amd64.deb'.
dpkg-deb: building package 'yosys-dev' in '../yosys-dev_0.33-5_amd64.deb'.
dpkg-deb: building package 'yosys-doc' in '../yosys-doc_0.33-5_all.deb'.
dpkg-deb: building package 'yosys-abc' in '../yosys-abc_0.33-5_amd64.deb'.
dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.33-5_amd64.deb'.
 dpkg-genbuildinfo -O../yosys_0.33-5_amd64.buildinfo
 dpkg-genchanges -O../yosys_0.33-5_amd64.changes
dpkg-genchanges: info: not including original source code in upload
 dpkg-source --after-build .
dpkg-source: info: using options from yosys-0.33/debian/source/options: --extend-diff-ignore=(^|/)(config\.sub|config\.guess|install-sh|configure|depcomp|missing|aclocal\.m4|config\.h\.in)$
dpkg-buildpackage: info: binary and diff upload (original source NOT included)
--------------------------------------------------------------------------------
Build finished at 2023-09-26T14:57:58Z

Finished
--------

I: Built successfully

+------------------------------------------------------------------------------+
| Changes                                                                      |
+------------------------------------------------------------------------------+


yosys_0.33-5_amd64.changes:
---------------------------

Format: 1.8
Date: Sat, 23 Sep 2023 21:58:59 +0200
Source: yosys
Binary: yosys yosys-abc yosys-abc-dbgsym yosys-dbgsym yosys-dev yosys-doc
Architecture: source amd64 all
Version: 0.33-5
Distribution: unstable
Urgency: medium
Maintainer: Debian Science Maintainers <debian-science-maintainers at lists.alioth.debian.org>
Changed-By: Daniel Gröber <dxld at darkboxed.org>
Description:
 yosys      - Framework for Verilog RTL synthesis
 yosys-abc  - Sequential Logic Synthesis and Verification Algorithms
 yosys-dev  - Framework for Verilog RTL synthesis (development files)
 yosys-doc  - Framework for Verilog RTL synthesis (documentation)
Changes:
 yosys (0.33-5) unstable; urgency=medium
 .
   * Fix pdflatex reproducibility
Checksums-Sha1:
 b37cf5f9af3c9f5090178ad7d680b6c3aa54be7e 2083 yosys_0.33-5.dsc
 37bc91d39f4bf451fad189ed1f2fe38cec389bbb 30100 yosys_0.33-5.debian.tar.xz
 eabe5fdcf812d96a746efc3c90f7c2a0192e4076 23327292 yosys-abc-dbgsym_0.33-5_amd64.deb
 75b28e0011fa6aecfcaeb4670171b456b419534b 5447528 yosys-abc_0.33-5_amd64.deb
 6812acddcb279a91ca27d73c60745d53f73d7894 51952776 yosys-dbgsym_0.33-5_amd64.deb
 5d1253fe429087cdc193f670a006e2eb5dfe331d 102008 yosys-dev_0.33-5_amd64.deb
 e17f05aa438f96f1b4b3a06a034ca4c0aa5d4903 2048184 yosys-doc_0.33-5_all.deb
 513ce42d650d85771cf3841df6a3e7b70f130035 12627 yosys_0.33-5_amd64.buildinfo
 186874e9853ef73d47f2aece71a2fc9fa23df1b5 3024444 yosys_0.33-5_amd64.deb
Checksums-Sha256:
 026201d262c86a06d04eb8a3038d45f0ef0e7b635f5e8a149a767002492672f6 2083 yosys_0.33-5.dsc
 268f618d6531f269f296c88fc6636301336e910a3508a997dd0b5434709ff597 30100 yosys_0.33-5.debian.tar.xz
 2266df43e98650aa1b65cd4f9a076795d230ce7dd68d94d77ee47d4364a3adbb 23327292 yosys-abc-dbgsym_0.33-5_amd64.deb
 dcb14c86179dfdc7fa228149957929257a037c065300a0c369cfdc71638884b7 5447528 yosys-abc_0.33-5_amd64.deb
 3c85bdb10ae31d26974f29bb9c016e4272fb4877a4e5c28363534dc10851c2c4 51952776 yosys-dbgsym_0.33-5_amd64.deb
 0d7081b936a5a5dee4965c74f7851301007cb865f982cf6bb5cf877629c608e2 102008 yosys-dev_0.33-5_amd64.deb
 6994c88f2680cb5e3f214147dc536f184ed937c50d0272b2f777b2c85e1dc122 2048184 yosys-doc_0.33-5_all.deb
 3bf8bb3aaa397a34e7255a343ad65a78e4c15381d5780b7520622262fba807fd 12627 yosys_0.33-5_amd64.buildinfo
 8937fe8d15f24431e11ed25741565097b52bf178c2bdb0b4e2169b55d1d4e4e0 3024444 yosys_0.33-5_amd64.deb
Files:
 8f29142e8342fb0f34e861351e564ba2 2083 electronics optional yosys_0.33-5.dsc
 ea0e202dc8df4d0df04a98b15aac442d 30100 electronics optional yosys_0.33-5.debian.tar.xz
 ae8269554999ba26b37d2d952510eb79 23327292 debug optional yosys-abc-dbgsym_0.33-5_amd64.deb
 fa2799a548d2e1ab5de3163d7139a86d 5447528 electronics optional yosys-abc_0.33-5_amd64.deb
 80d87d1ce50119a36d97c72a765cafbd 51952776 debug optional yosys-dbgsym_0.33-5_amd64.deb
 c1fe009b60f4bc8a180b414f70b3ebd0 102008 electronics optional yosys-dev_0.33-5_amd64.deb
 67d41d1e6626e44ad1fcaecfa96286cc 2048184 doc optional yosys-doc_0.33-5_all.deb
 4cc80dc93c35c1e91ef3373f6c01c8d0 12627 electronics optional yosys_0.33-5_amd64.buildinfo
 dd39e69744bb8a71b2342726d7629581 3024444 electronics optional yosys_0.33-5_amd64.deb

yosys_0.33-5_source.changes:
----------------------------

dpkg-genchanges: info: not including original source code in upload
Format: 1.8
Date: Sat, 23 Sep 2023 21:58:59 +0200
Source: yosys
Architecture: source
Version: 0.33-5
Distribution: unstable
Urgency: medium
Maintainer: Debian Science Maintainers <debian-science-maintainers at lists.alioth.debian.org>
Changed-By: Daniel Gröber <dxld at darkboxed.org>
Changes:
 yosys (0.33-5) unstable; urgency=medium
 .
   * Fix pdflatex reproducibility
Checksums-Sha1:
 b37cf5f9af3c9f5090178ad7d680b6c3aa54be7e 2083 yosys_0.33-5.dsc
 37bc91d39f4bf451fad189ed1f2fe38cec389bbb 30100 yosys_0.33-5.debian.tar.xz
 513ce42d650d85771cf3841df6a3e7b70f130035 12627 yosys_0.33-5_amd64.buildinfo
Checksums-Sha256:
 026201d262c86a06d04eb8a3038d45f0ef0e7b635f5e8a149a767002492672f6 2083 yosys_0.33-5.dsc
 268f618d6531f269f296c88fc6636301336e910a3508a997dd0b5434709ff597 30100 yosys_0.33-5.debian.tar.xz
 3bf8bb3aaa397a34e7255a343ad65a78e4c15381d5780b7520622262fba807fd 12627 yosys_0.33-5_amd64.buildinfo
Files:
 8f29142e8342fb0f34e861351e564ba2 2083 electronics optional yosys_0.33-5.dsc
 ea0e202dc8df4d0df04a98b15aac442d 30100 electronics optional yosys_0.33-5.debian.tar.xz
 4cc80dc93c35c1e91ef3373f6c01c8d0 12627 electronics optional yosys_0.33-5_amd64.buildinfo

+------------------------------------------------------------------------------+
| Buildinfo                                                                    |
+------------------------------------------------------------------------------+

Format: 1.0
Source: yosys
Binary: yosys yosys-abc yosys-abc-dbgsym yosys-dbgsym yosys-dev yosys-doc
Architecture: all amd64 source
Version: 0.33-5
Checksums-Md5:
 8f29142e8342fb0f34e861351e564ba2 2083 yosys_0.33-5.dsc
 ae8269554999ba26b37d2d952510eb79 23327292 yosys-abc-dbgsym_0.33-5_amd64.deb
 fa2799a548d2e1ab5de3163d7139a86d 5447528 yosys-abc_0.33-5_amd64.deb
 80d87d1ce50119a36d97c72a765cafbd 51952776 yosys-dbgsym_0.33-5_amd64.deb
 c1fe009b60f4bc8a180b414f70b3ebd0 102008 yosys-dev_0.33-5_amd64.deb
 67d41d1e6626e44ad1fcaecfa96286cc 2048184 yosys-doc_0.33-5_all.deb
 dd39e69744bb8a71b2342726d7629581 3024444 yosys_0.33-5_amd64.deb
Checksums-Sha1:
 b37cf5f9af3c9f5090178ad7d680b6c3aa54be7e 2083 yosys_0.33-5.dsc
 eabe5fdcf812d96a746efc3c90f7c2a0192e4076 23327292 yosys-abc-dbgsym_0.33-5_amd64.deb
 75b28e0011fa6aecfcaeb4670171b456b419534b 5447528 yosys-abc_0.33-5_amd64.deb
 6812acddcb279a91ca27d73c60745d53f73d7894 51952776 yosys-dbgsym_0.33-5_amd64.deb
 5d1253fe429087cdc193f670a006e2eb5dfe331d 102008 yosys-dev_0.33-5_amd64.deb
 e17f05aa438f96f1b4b3a06a034ca4c0aa5d4903 2048184 yosys-doc_0.33-5_all.deb
 186874e9853ef73d47f2aece71a2fc9fa23df1b5 3024444 yosys_0.33-5_amd64.deb
Checksums-Sha256:
 026201d262c86a06d04eb8a3038d45f0ef0e7b635f5e8a149a767002492672f6 2083 yosys_0.33-5.dsc
 2266df43e98650aa1b65cd4f9a076795d230ce7dd68d94d77ee47d4364a3adbb 23327292 yosys-abc-dbgsym_0.33-5_amd64.deb
 dcb14c86179dfdc7fa228149957929257a037c065300a0c369cfdc71638884b7 5447528 yosys-abc_0.33-5_amd64.deb
 3c85bdb10ae31d26974f29bb9c016e4272fb4877a4e5c28363534dc10851c2c4 51952776 yosys-dbgsym_0.33-5_amd64.deb
 0d7081b936a5a5dee4965c74f7851301007cb865f982cf6bb5cf877629c608e2 102008 yosys-dev_0.33-5_amd64.deb
 6994c88f2680cb5e3f214147dc536f184ed937c50d0272b2f777b2c85e1dc122 2048184 yosys-doc_0.33-5_all.deb
 8937fe8d15f24431e11ed25741565097b52bf178c2bdb0b4e2169b55d1d4e4e0 3024444 yosys_0.33-5_amd64.deb
Build-Origin: Debian
Build-Architecture: amd64
Build-Date: Tue, 26 Sep 2023 14:57:57 +0000
Build-Path: /<<PKGBUILDDIR>>
Installed-Build-Depends:
 autoconf (= 2.71-3),
 automake (= 1:1.16.5-1.3),
 autopoint (= 0.21-13),
 autotools-dev (= 20220109.1),
 base-files (= 13),
 base-passwd (= 3.6.1),
 bash (= 5.2.15-2+b5),
 binutils (= 2.41-5),
 binutils-common (= 2.41-5),
 binutils-x86-64-linux-gnu (= 2.41-5),
 bison (= 2:3.8.2+dfsg-1+b1),
 bsdextrautils (= 2.39.2-1),
 bsdutils (= 1:2.39.2-1),
 build-essential (= 12.10),
 bzip2 (= 1.0.8-5+b1),
 ca-certificates (= 20230311),
 coreutils (= 9.1-1),
 cpp (= 4:13.2.0-1),
 cpp-12 (= 12.3.0-9),
 cpp-13 (= 13.2.0-4),
 dash (= 0.5.12-6),
 debconf (= 1.5.82),
 debhelper (= 13.11.6),
 debianutils (= 5.13),
 dh-autoreconf (= 20),
 dh-python (= 6.20230825),
 dh-strip-nondeterminism (= 1.13.1-1),
 diffutils (= 1:3.8-4),
 docutils-common (= 0.19+dfsg-7),
 dpkg (= 1.22.0),
 dpkg-dev (= 1.22.0),
 dwz (= 0.15-1),
 file (= 1:5.45-2),
 findutils (= 4.9.0-5),
 flex (= 2.6.4-8.2),
 fontconfig (= 2.14.2-6),
 fontconfig-config (= 2.14.2-6),
 fonts-dejavu-core (= 2.37-8),
 fonts-dejavu-mono (= 2.37-8),
 fonts-gfs-baskerville (= 1.1-6),
 fonts-gfs-porson (= 1.1-7),
 fonts-lmodern (= 2.005-1),
 g++ (= 4:13.2.0-1),
 g++-13 (= 13.2.0-4),
 gawk (= 1:5.2.1-2),
 gcc (= 4:13.2.0-1),
 gcc-12 (= 12.3.0-9),
 gcc-12-base (= 12.3.0-9),
 gcc-13 (= 13.2.0-4),
 gcc-13-base (= 13.2.0-4),
 gettext (= 0.21-13+b1),
 gettext-base (= 0.21-13+b1),
 git (= 1:2.40.1-1),
 git-man (= 1:2.40.1-1),
 graphviz (= 2.42.2-7+b3),
 grep (= 3.11-3),
 groff-base (= 1.23.0-2),
 gzip (= 1.12-1),
 hostname (= 3.23+nmu1),
 init-system-helpers (= 1.65.2),
 intltool-debian (= 0.35.0+20060710.6),
 iverilog (= 12.0-2),
 latexmk (= 1:4.80-1),
 libabsl20220623 (= 20220623.1-3),
 libacl1 (= 2.3.1-3),
 libann0 (= 1.1.2+doc-9+b1),
 libaom3 (= 3.7.0~really3.6.1-1),
 libapache-pom-java (= 29-2),
 libarchive-zip-perl (= 1.68-1),
 libasan8 (= 13.2.0-4),
 libatomic1 (= 13.2.0-4),
 libattr1 (= 1:2.5.1-4),
 libaudit-common (= 1:3.1.1-1),
 libaudit1 (= 1:3.1.1-1),
 libavif15 (= 0.11.1-3+b1),
 libbinutils (= 2.41-5),
 libblkid1 (= 2.39.2-1),
 libbrotli1 (= 1.0.9-2+b6),
 libbsd0 (= 0.11.7-4),
 libbz2-1.0 (= 1.0.8-5+b1),
 libbz2-dev (= 1.0.8-5+b1),
 libc-bin (= 2.37-10),
 libc-dev-bin (= 2.37-10),
 libc6 (= 2.37-10),
 libc6-dev (= 2.37-10),
 libcairo2 (= 1.18.0-1),
 libcap-ng0 (= 0.8.3-1+b3),
 libcap2 (= 1:2.66-4),
 libcc1-0 (= 13.2.0-4),
 libcdt5 (= 2.42.2-7+b3),
 libcgraph6 (= 2.42.2-7+b3),
 libcom-err2 (= 1.47.0-2+b1),
 libcommons-logging-java (= 1.2-3),
 libcommons-parent-java (= 56-1),
 libcrypt-dev (= 1:4.4.36-2),
 libcrypt1 (= 1:4.4.36-2),
 libctf-nobfd0 (= 2.41-5),
 libctf0 (= 2.41-5),
 libcurl3-gnutls (= 8.3.0-1),
 libdatrie1 (= 0.2.13-2+b1),
 libdav1d6 (= 1.2.1-2),
 libdb5.3 (= 5.3.28+dfsg2-2),
 libde265-0 (= 1.0.12-2),
 libdebconfclient0 (= 0.271),
 libdebhelper-perl (= 13.11.6),
 libdeflate0 (= 1.18-1),
 libdpkg-perl (= 1.22.0),
 libelf1 (= 0.189-4),
 liberror-perl (= 0.17029-2),
 libexpat1 (= 2.5.0-2),
 libffi-dev (= 3.4.4-1),
 libffi8 (= 3.4.4-1),
 libfile-stripnondeterminism-perl (= 1.13.1-1),
 libfontbox-java (= 1:1.8.16-4),
 libfontconfig1 (= 2.14.2-6),
 libfontenc1 (= 1:1.1.4-1),
 libfreetype6 (= 2.13.2+dfsg-1),
 libfribidi0 (= 1.0.13-3),
 libgav1-1 (= 0.18.0-1+b1),
 libgcc-12-dev (= 12.3.0-9),
 libgcc-13-dev (= 13.2.0-4),
 libgcc-s1 (= 13.2.0-4),
 libgcrypt20 (= 1.10.2-3),
 libgd3 (= 2.3.3-9),
 libgdbm-compat4 (= 1.23-3),
 libgdbm6 (= 1.23-3),
 libglib2.0-0 (= 2.78.0-2),
 libgmp10 (= 2:6.3.0+dfsg-2),
 libgnutls30 (= 3.8.1-4+b1),
 libgomp1 (= 13.2.0-4),
 libgpg-error0 (= 1.47-2),
 libgprofng0 (= 2.41-5),
 libgraphite2-3 (= 1.3.14-1),
 libgssapi-krb5-2 (= 1.20.1-4),
 libgts-0.7-5 (= 0.7.6+darcs121130-5+b1),
 libgvc6 (= 2.42.2-7+b3),
 libgvpr2 (= 2.42.2-7+b3),
 libharfbuzz0b (= 8.0.1-1),
 libheif-plugin-dav1d (= 1.16.2-2+b1),
 libheif-plugin-libde265 (= 1.16.2-2+b1),
 libheif1 (= 1.16.2-2+b1),
 libhogweed6 (= 3.9.1-2),
 libhwasan0 (= 13.2.0-4),
 libice6 (= 2:1.0.10-1),
 libicu72 (= 72.1-3),
 libidn2-0 (= 2.3.4-1+b1),
 libisl23 (= 0.26-3),
 libitm1 (= 13.2.0-4),
 libjansson4 (= 2.14-2),
 libjbig0 (= 2.1-6.1),
 libjpeg62-turbo (= 1:2.1.5-2),
 libjs-jquery (= 3.6.1+dfsg+~3.5.14-1),
 libjs-sphinxdoc (= 5.3.0-7),
 libjs-underscore (= 1.13.4~dfsg+~1.11.4-3),
 libjson-perl (= 4.10000-1),
 libk5crypto3 (= 1.20.1-4),
 libkeyutils1 (= 1.6.3-2),
 libkpathsea6 (= 2023.20230311.66589-6),
 libkrb5-3 (= 1.20.1-4),
 libkrb5support0 (= 1.20.1-4),
 liblab-gamut1 (= 2.42.2-7+b3),
 liblcms2-2 (= 2.14-2),
 libldap-2.5-0 (= 2.5.13+dfsg-5),
 liblerc4 (= 4.0.0+ds-3),
 liblsan0 (= 13.2.0-4),
 libltdl7 (= 2.4.7-7),
 liblz4-1 (= 1.9.4-1),
 liblzma5 (= 5.4.4-0.1),
 libmagic-mgc (= 1:5.45-2),
 libmagic1 (= 1:5.45-2),
 libmd0 (= 1.1.0-1),
 libmount1 (= 2.39.2-1),
 libmpc3 (= 1.3.1-1),
 libmpfr6 (= 4.2.1-1),
 libncurses-dev (= 6.4+20230625-2),
 libncurses6 (= 6.4+20230625-2),
 libncursesw6 (= 6.4+20230625-2),
 libnettle8 (= 3.9.1-2),
 libnghttp2-14 (= 1.56.0-1),
 libnsl-dev (= 1.3.0-2),
 libnsl2 (= 1.3.0-2),
 libnspr4 (= 2:4.35-1.1),
 libnss3 (= 2:3.92-1),
 libopenjp2-7 (= 2.5.0-2),
 libp11-kit0 (= 0.25.0-4),
 libpam-modules (= 1.5.2-7),
 libpam-modules-bin (= 1.5.2-7),
 libpam-runtime (= 1.5.2-7),
 libpam0g (= 1.5.2-7),
 libpango-1.0-0 (= 1.51.0+ds-2),
 libpangocairo-1.0-0 (= 1.51.0+ds-2),
 libpangoft2-1.0-0 (= 1.51.0+ds-2),
 libpaper-utils (= 1.1.29),
 libpaper1 (= 1.1.29),
 libpathplan4 (= 2.42.2-7+b3),
 libpcre2-8-0 (= 10.42-4),
 libpdfbox-java (= 1:1.8.16-4),
 libperl5.36 (= 5.36.0-9),
 libpipeline1 (= 1.5.7-1),
 libpixman-1-0 (= 0.42.2-1),
 libpkgconf3 (= 1.8.1-1),
 libpng16-16 (= 1.6.40-1),
 libpoppler-glib8 (= 22.12.0-2+b1),
 libpoppler126 (= 22.12.0-2+b1),
 libpotrace0 (= 1.16-2),
 libpsl5 (= 0.21.2-1+b1),
 libptexenc1 (= 2023.20230311.66589-6),
 libpython3-stdlib (= 3.11.4-5+b1),
 libpython3.11-minimal (= 3.11.5-3),
 libpython3.11-stdlib (= 3.11.5-3),
 libquadmath0 (= 13.2.0-4),
 librav1e0 (= 0.6.6-3),
 libreadline-dev (= 8.2-1.3),
 libreadline8 (= 8.2-1.3),
 librtmp1 (= 2.4+20151223.gitfa8646d.1-2+b2),
 libsasl2-2 (= 2.1.28+dfsg1-3),
 libsasl2-modules-db (= 2.1.28+dfsg1-3),
 libseccomp2 (= 2.5.4-1+b3),
 libselinux1 (= 3.5-1),
 libsframe1 (= 2.41-5),
 libsharpyuv0 (= 1.3.2-0.3),
 libsigsegv2 (= 2.14-1),
 libsm6 (= 2:1.2.3-1),
 libsmartcols1 (= 2.39.2-1),
 libsqlite3-0 (= 3.43.1-1),
 libssh2-1 (= 1.11.0-2),
 libssl3 (= 3.0.11-1),
 libstdc++-13-dev (= 13.2.0-4),
 libstdc++6 (= 13.2.0-4),
 libsub-override-perl (= 0.09-4),
 libsvtav1enc1d1 (= 1.7.0+dfsg-2),
 libsynctex2 (= 2023.20230311.66589-6),
 libsystemd0 (= 254.4-1),
 libtasn1-6 (= 4.19.0-3),
 libtcl8.6 (= 8.6.13+dfsg-2),
 libteckit0 (= 2.5.11+ds1-1+b1),
 libtexlua53-5 (= 2023.20230311.66589-6),
 libthai-data (= 0.1.29-1),
 libthai0 (= 0.1.29-1),
 libtiff6 (= 4.5.1+git230720-1),
 libtinfo6 (= 6.4+20230625-2),
 libtirpc-common (= 1.3.3+ds-1),
 libtirpc-dev (= 1.3.3+ds-1),
 libtirpc3 (= 1.3.3+ds-1),
 libtool (= 2.4.7-7),
 libtsan2 (= 13.2.0-4),
 libubsan1 (= 13.2.0-4),
 libuchardet0 (= 0.0.7-1),
 libudev1 (= 254.4-1),
 libunistring5 (= 1.1-2),
 libuuid1 (= 2.39.2-1),
 libwebp7 (= 1.3.2-0.3),
 libx11-6 (= 2:1.8.6-1),
 libx11-data (= 2:1.8.6-1),
 libxau6 (= 1:1.0.9-1),
 libxaw7 (= 2:1.0.14-1),
 libxcb-render0 (= 1.15-1),
 libxcb-shm0 (= 1.15-1),
 libxcb1 (= 1.15-1),
 libxdmcp6 (= 1:1.1.2-3),
 libxext6 (= 2:1.3.4-1+b1),
 libxi6 (= 2:1.8-1+b1),
 libxml2 (= 2.9.14+dfsg-1.3),
 libxmu6 (= 2:1.1.3-3),
 libxpm4 (= 1:3.5.12-1.1),
 libxrender1 (= 1:0.9.10-1.1),
 libxt6 (= 1:1.2.1-1.1),
 libyaml-0-2 (= 0.2.5-1),
 libyuv0 (= 0.0~git20230907.cbfb661-1),
 libzstd1 (= 1.5.5+dfsg2-2),
 libzzip-0-13 (= 0.13.72+dfsg.1-1.1),
 linux-libc-dev (= 6.5.3-1),
 lmodern (= 2.005-1),
 login (= 1:4.13+dfsg1-1+b1),
 m4 (= 1.4.19-4),
 make (= 4.3-4.1),
 man-db (= 2.12.0-1),
 mawk (= 1.3.4.20230808-1),
 media-types (= 10.1.0),
 ncurses-base (= 6.4+20230625-2),
 ncurses-bin (= 6.4+20230625-2),
 openssl (= 3.0.11-1),
 patch (= 2.7.6-7),
 pdf2svg (= 0.2.3-4),
 perl (= 5.36.0-9),
 perl-base (= 5.36.0-9),
 perl-modules-5.36 (= 5.36.0-9),
 pkg-config (= 1.8.1-1),
 pkgconf (= 1.8.1-1),
 pkgconf-bin (= 1.8.1-1),
 po-debconf (= 1.0.21+nmu1),
 preview-latex-style (= 12.2-1),
 python-babel-localedata (= 2.10.3-1),
 python3 (= 3.11.4-5+b1),
 python3-alabaster (= 0.7.12-1),
 python3-babel (= 2.10.3-1),
 python3-certifi (= 2022.9.24-1),
 python3-chardet (= 5.2.0+dfsg-1),
 python3-charset-normalizer (= 3.2.0-1),
 python3-distutils (= 3.11.5-1),
 python3-docutils (= 0.19+dfsg-7),
 python3-idna (= 3.3-2),
 python3-imagesize (= 1.4.1-1),
 python3-jinja2 (= 3.1.2-1),
 python3-latexcodec (= 2.0.1-2),
 python3-lib2to3 (= 3.11.5-1),
 python3-markupsafe (= 2.1.3-1),
 python3-minimal (= 3.11.4-5+b1),
 python3-packaging (= 23.1-1),
 python3-pkg-resources (= 68.1.2-1),
 python3-pybtex (= 0.24.0-4),
 python3-pybtex-docutils (= 1.0.2-1),
 python3-pygments (= 2.15.1+dfsg-1),
 python3-requests (= 2.31.0+dfsg-1),
 python3-roman (= 3.3-3),
 python3-six (= 1.16.0-4),
 python3-snowballstemmer (= 2.2.0-4),
 python3-sphinx (= 5.3.0-7),
 python3-sphinx-press-theme (= 0.8.0-2),
 python3-sphinxcontrib.bibtex (= 2.5.0-2),
 python3-tz (= 2023.3.post1-1),
 python3-urllib3 (= 1.26.16-1),
 python3-yaml (= 6.0.1-1),
 python3.11 (= 3.11.5-3),
 python3.11-minimal (= 3.11.5-3),
 readline-common (= 8.2-1.3),
 rpcsvc-proto (= 1.4.3-1),
 sed (= 4.9-1),
 sensible-utils (= 0.0.20),
 sgml-base (= 1.31),
 sphinx-common (= 5.3.0-7),
 sysvinit-utils (= 3.08-1),
 t1utils (= 1.41-4),
 tar (= 1.34+dfsg-1.2),
 tcl (= 8.6.13),
 tcl-dev (= 8.6.13),
 tcl8.6 (= 8.6.13+dfsg-2),
 tcl8.6-dev (= 8.6.13+dfsg-2),
 tex-common (= 6.18),
 tex-gyre (= 20180621-6),
 texlive-base (= 2023.20230613-3),
 texlive-bibtex-extra (= 2023.20230613-2),
 texlive-binaries (= 2023.20230311.66589-6),
 texlive-font-utils (= 2023.20230613-2),
 texlive-fonts-extra (= 2023.20230613-2),
 texlive-fonts-recommended (= 2023.20230613-3),
 texlive-lang-greek (= 2023.20230613-2),
 texlive-latex-base (= 2023.20230613-3),
 texlive-latex-extra (= 2023.20230613-2),
 texlive-latex-recommended (= 2023.20230613-3),
 texlive-pictures (= 2023.20230613-3),
 texlive-plain-generic (= 2023.20230613-2),
 texlive-publishers (= 2023.20230613-2),
 texlive-science (= 2023.20230613-2),
 txt2man (= 1.7.1-4),
 tzdata (= 2023c-10),
 ucf (= 3.0043+nmu1),
 usr-is-merged (= 37),
 util-linux (= 2.39.2-1),
 x11-common (= 1:7.7+23),
 xdg-utils (= 1.1.3-4.1),
 xfonts-encodings (= 1:1.0.4-2.2),
 xfonts-utils (= 1:7.7+6),
 xml-core (= 0.18+nmu1),
 xz-utils (= 5.4.4-0.1),
 zlib1g (= 1:1.2.13.dfsg-3),
 zlib1g-dev (= 1:1.2.13.dfsg-3)
Environment:
 DEB_BUILD_OPTIONS="parallel=16"
 LANG="en_GB.UTF-8"
 LC_ALL="C.UTF-8"
 LC_COLLATE="C.UTF-8"
 SOURCE_DATE_EPOCH="1695499139"


+------------------------------------------------------------------------------+
| Package contents                                                             |
+------------------------------------------------------------------------------+


yosys-abc-dbgsym_0.33-5_amd64.deb
---------------------------------

 new Debian package, version 2.0.
 size 23327292 bytes: control archive=536 bytes.
     381 bytes,    12 lines      control
     106 bytes,     1 lines      md5sums
 Package: yosys-abc-dbgsym
 Source: yosys
 Version: 0.33-5
 Auto-Built-Package: debug-symbols
 Architecture: amd64
 Maintainer: Debian Science Maintainers <debian-science-maintainers at lists.alioth.debian.org>
 Installed-Size: 26307
 Depends: yosys-abc (= 0.33-5)
 Section: debug
 Priority: optional
 Description: debug symbols for yosys-abc
 Build-Ids: e996a2fc98d5b1ce4af9ef8c90af381a7d695e48

drwxr-xr-x root/root         0 2023-09-23 19:58 ./
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/lib/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/lib/debug/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/lib/debug/.build-id/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/lib/debug/.build-id/e9/
-rw-r--r-- root/root  26927128 2023-09-23 19:58 ./usr/lib/debug/.build-id/e9/96a2fc98d5b1ce4af9ef8c90af381a7d695e48.debug
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/doc/
lrwxrwxrwx root/root         0 2023-09-23 19:58 ./usr/share/doc/yosys-abc-dbgsym -> yosys-abc


yosys-abc_0.33-5_amd64.deb
--------------------------

 new Debian package, version 2.0.
 size 5447528 bytes: control archive=1000 bytes.
     985 bytes,    21 lines      control
     337 bytes,     5 lines      md5sums
 Package: yosys-abc
 Source: yosys
 Version: 0.33-5
 Architecture: amd64
 Maintainer: Debian Science Maintainers <debian-science-maintainers at lists.alioth.debian.org>
 Installed-Size: 14691
 Depends: libbz2-1.0, libc6 (>= 2.34), libgcc-s1 (>= 3.3.1), libreadline8 (>= 6.0), libstdc++6 (>= 13.1), zlib1g (>= 1:1.1.4)
 Breaks: yosys (<< 0.32-1)
 Replaces: yosys (<< 0.32-1)
 Section: electronics
 Priority: optional
 Homepage: https://github.com/YosysHQ/yosys
 Description: Sequential Logic Synthesis and Verification Algorithms
  ABC is a system for synthesis and verification of binary sequential logic
  circuits appearing in synchronous hardware designs. It combines scalable
  logic optimization based on And-Inverter Graphs (AIGs), optimal-delay
  DAG-based technology mapping for look-up tables and standard cells, and
  innovative algorithms for sequential synthesis and verification.
  .
  This is a fork of berkeley-abc maintained by the YosysHQ team for use in
  the yosys RTL synthesis framework.

drwxr-xr-x root/root         0 2023-09-23 19:58 ./
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/bin/
-rwxr-xr-x root/root  14992064 2023-09-23 19:58 ./usr/bin/yosys-abc
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/doc/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/doc/yosys-abc/
-rw-r--r-- root/root      2339 2023-09-23 19:58 ./usr/share/doc/yosys-abc/changelog.Debian.gz
-rw-r--r-- root/root     15709 2023-09-05 06:08 ./usr/share/doc/yosys-abc/changelog.gz
-rw-r--r-- root/root     20986 2023-09-06 09:03 ./usr/share/doc/yosys-abc/copyright
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/man/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/man/man1/
-rw-r--r-- root/root       509 2023-09-23 19:58 ./usr/share/man/man1/yosys-abc.1.gz


yosys-dbgsym_0.33-5_amd64.deb
-----------------------------

 new Debian package, version 2.0.
 size 51952776 bytes: control archive=592 bytes.
     410 bytes,    12 lines      control
     212 bytes,     2 lines      md5sums
 Package: yosys-dbgsym
 Source: yosys
 Version: 0.33-5
 Auto-Built-Package: debug-symbols
 Architecture: amd64
 Maintainer: Debian Science Maintainers <debian-science-maintainers at lists.alioth.debian.org>
 Installed-Size: 55564
 Depends: yosys (= 0.33-5)
 Section: debug
 Priority: optional
 Description: debug symbols for yosys
 Build-Ids: 0e153b2559d98b5f2b21aa6fc8a466b8ca67dffb e0ac33f7f3c9bf3c96eddd6b1ecf15e656cb5027

drwxr-xr-x root/root         0 2023-09-23 19:58 ./
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/lib/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/lib/debug/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/lib/debug/.build-id/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/lib/debug/.build-id/0e/
-rw-r--r-- root/root    131048 2023-09-23 19:58 ./usr/lib/debug/.build-id/0e/153b2559d98b5f2b21aa6fc8a466b8ca67dffb.debug
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/lib/debug/.build-id/e0/
-rw-r--r-- root/root  56754320 2023-09-23 19:58 ./usr/lib/debug/.build-id/e0/ac33f7f3c9bf3c96eddd6b1ecf15e656cb5027.debug
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/doc/
lrwxrwxrwx root/root         0 2023-09-23 19:58 ./usr/share/doc/yosys-dbgsym -> yosys


yosys-dev_0.33-5_amd64.deb
--------------------------

 new Debian package, version 2.0.
 size 102008 bytes: control archive=1972 bytes.
     845 bytes,    20 lines      control
    3386 bytes,    44 lines      md5sums
 Package: yosys-dev
 Source: yosys
 Version: 0.33-5
 Architecture: amd64
 Maintainer: Debian Science Maintainers <debian-science-maintainers at lists.alioth.debian.org>
 Installed-Size: 506
 Depends: tcl-dev, libffi-dev, libreadline-dev
 Section: electronics
 Priority: optional
 Homepage: https://github.com/YosysHQ/yosys
 Description: Framework for Verilog RTL synthesis (development files)
  Yosys is a framework for Verilog RTL synthesis. It currently has extensive
  Verilog-2005 support and provides a basic set of synthesis algorithms for
  various application domains.
  .
  Yosys can be adapted to perform any synthesis job by combining the existing
  passes (algorithms) using synthesis scripts and adding additional passes as
  needed by extending the yosys C++ code base.
  .
  This package contains the headers and programs needed to build yosys plugins.

drwxr-xr-x root/root         0 2023-09-23 19:58 ./
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/bin/
-rwxr-xr-x root/root      3644 2023-09-23 19:58 ./usr/bin/yosys-config
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/doc/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/doc/yosys-dev/
-rw-r--r-- root/root      2339 2023-09-23 19:58 ./usr/share/doc/yosys-dev/changelog.Debian.gz
-rw-r--r-- root/root     15709 2023-09-05 06:08 ./usr/share/doc/yosys-dev/changelog.gz
-rw-r--r-- root/root     20986 2023-09-06 09:03 ./usr/share/doc/yosys-dev/copyright
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/man/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/man/man1/
-rw-r--r-- root/root       898 2023-09-23 19:58 ./usr/share/man/man1/yosys-config.1.gz
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/include/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/include/backends/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/include/backends/cxxrtl/
-rw-r--r-- root/root     59478 2023-09-23 19:58 ./usr/share/yosys/include/backends/cxxrtl/cxxrtl.h
-rw-r--r-- root/root      2951 2023-09-23 19:58 ./usr/share/yosys/include/backends/cxxrtl/cxxrtl_capi.cc
-rw-r--r-- root/root     13413 2023-09-23 19:58 ./usr/share/yosys/include/backends/cxxrtl/cxxrtl_capi.h
-rw-r--r-- root/root      8497 2023-09-23 19:58 ./usr/share/yosys/include/backends/cxxrtl/cxxrtl_vcd.h
-rw-r--r-- root/root      2816 2023-09-23 19:58 ./usr/share/yosys/include/backends/cxxrtl/cxxrtl_vcd_capi.cc
-rw-r--r-- root/root      4290 2023-09-23 19:58 ./usr/share/yosys/include/backends/cxxrtl/cxxrtl_vcd_capi.h
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/include/backends/rtlil/
-rw-r--r-- root/root      2407 2023-09-23 19:58 ./usr/share/yosys/include/backends/rtlil/rtlil_backend.h
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/include/frontends/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/include/frontends/ast/
-rw-r--r-- root/root     15538 2023-09-23 19:58 ./usr/share/yosys/include/frontends/ast/ast.h
-rw-r--r-- root/root      1756 2023-09-23 19:58 ./usr/share/yosys/include/frontends/ast/ast_binding.h
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/include/frontends/blif/
-rw-r--r-- root/root      1139 2023-09-23 19:58 ./usr/share/yosys/include/frontends/blif/blifparse.h
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/include/kernel/
-rw-r--r-- root/root      1947 2023-09-23 19:58 ./usr/share/yosys/include/kernel/binding.h
-rw-r--r-- root/root      1349 2023-09-23 19:58 ./usr/share/yosys/include/kernel/cellaigs.h
-rw-r--r-- root/root      2216 2023-09-23 19:58 ./usr/share/yosys/include/kernel/celledges.h
-rw-r--r-- root/root     17903 2023-09-23 19:58 ./usr/share/yosys/include/kernel/celltypes.h
-rw-r--r-- root/root     10913 2023-09-23 19:58 ./usr/share/yosys/include/kernel/consteval.h
-rw-r--r-- root/root      3498 2023-09-23 19:58 ./usr/share/yosys/include/kernel/constids.inc
-rw-r--r-- root/root      7574 2023-09-23 19:58 ./usr/share/yosys/include/kernel/ff.h
-rw-r--r-- root/root      3473 2023-09-23 19:58 ./usr/share/yosys/include/kernel/ffinit.h
-rw-r--r-- root/root      2465 2023-09-23 19:58 ./usr/share/yosys/include/kernel/fstdata.h
-rw-r--r-- root/root     29339 2023-09-23 19:58 ./usr/share/yosys/include/kernel/hashlib.h
-rw-r--r-- root/root      2851 2023-09-23 19:58 ./usr/share/yosys/include/kernel/json.h
-rw-r--r-- root/root     15190 2023-09-23 19:58 ./usr/share/yosys/include/kernel/log.h
-rw-r--r-- root/root      6991 2023-09-23 19:58 ./usr/share/yosys/include/kernel/macc.h
-rw-r--r-- root/root      9176 2023-09-23 19:58 ./usr/share/yosys/include/kernel/mem.h
-rw-r--r-- root/root     14281 2023-09-23 19:58 ./usr/share/yosys/include/kernel/modtools.h
-rw-r--r-- root/root      2804 2023-09-23 19:58 ./usr/share/yosys/include/kernel/qcsat.h
-rw-r--r-- root/root      5387 2023-09-23 19:58 ./usr/share/yosys/include/kernel/register.h
-rw-r--r-- root/root     83357 2023-09-23 19:58 ./usr/share/yosys/include/kernel/rtlil.h
-rw-r--r-- root/root     10483 2023-09-23 19:58 ./usr/share/yosys/include/kernel/satgen.h
-rw-r--r-- root/root      7504 2023-09-23 19:58 ./usr/share/yosys/include/kernel/sigtools.h
-rw-r--r-- root/root      5032 2023-09-23 19:58 ./usr/share/yosys/include/kernel/utils.h
-rw-r--r-- root/root     12407 2023-09-23 19:58 ./usr/share/yosys/include/kernel/yosys.h
-rw-r--r-- root/root      5429 2023-09-23 19:58 ./usr/share/yosys/include/kernel/yw.h
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/include/libs/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/include/libs/ezsat/
-rw-r--r-- root/root      2098 2023-09-23 19:58 ./usr/share/yosys/include/libs/ezsat/ezminisat.h
-rw-r--r-- root/root     14523 2023-09-23 19:58 ./usr/share/yosys/include/libs/ezsat/ezsat.h
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/include/libs/fst/
-rw-r--r-- root/root     16653 2023-09-23 19:58 ./usr/share/yosys/include/libs/fst/fstapi.h
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/include/libs/json11/
-rw-r--r-- root/root      9268 2023-09-23 19:58 ./usr/share/yosys/include/libs/json11/json11.hpp
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/include/libs/sha1/
-rw-r--r-- root/root      1382 2023-09-23 19:58 ./usr/share/yosys/include/libs/sha1/sha1.h
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/include/passes/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/include/passes/fsm/
-rw-r--r-- root/root      6753 2023-09-23 19:58 ./usr/share/yosys/include/passes/fsm/fsmdata.h


yosys-doc_0.33-5_all.deb
------------------------

 new Debian package, version 2.0.
 size 2048184 bytes: control archive=920 bytes.
     778 bytes,    21 lines      control
     435 bytes,     6 lines      md5sums
 Package: yosys-doc
 Source: yosys
 Version: 0.33-5
 Architecture: all
 Maintainer: Debian Science Maintainers <debian-science-maintainers at lists.alioth.debian.org>
 Installed-Size: 2182
 Suggests: yosys
 Section: doc
 Priority: optional
 Multi-Arch: foreign
 Homepage: https://github.com/YosysHQ/yosys
 Description: Framework for Verilog RTL synthesis (documentation)
  Yosys is a framework for Verilog RTL synthesis. It currently has extensive
  Verilog-2005 support and provides a basic set of synthesis algorithms for
  various application domains.
  .
  Yosys can be adapted to perform any synthesis job by combining the existing
  passes (algorithms) using synthesis scripts and adding additional passes as
  needed by extending the yosys C++ code base.
  .
  This package contains the manual.

drwxr-xr-x root/root         0 2023-09-23 19:58 ./
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/doc-base/
-rw-r--r-- root/root       245 2023-08-27 13:27 ./usr/share/doc-base/yosys-doc.yosys-manual
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/doc/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/doc/yosys-doc/
-rw-r--r-- root/root      2340 2023-09-23 19:58 ./usr/share/doc/yosys-doc/changelog.Debian.gz
-rw-r--r-- root/root     15709 2023-09-05 06:08 ./usr/share/doc/yosys-doc/changelog.gz
-rw-r--r-- root/root     20986 2023-09-06 09:03 ./usr/share/doc/yosys-doc/copyright
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/doc/yosys/
lrwxrwxrwx root/root         0 2023-09-23 19:58 ./usr/share/doc/yosys/manual.pdf -> yosyshqyosys.pdf
-rw-r--r-- root/root    895278 2023-09-23 19:58 ./usr/share/doc/yosys/presentation.pdf
-rw-r--r-- root/root   1287034 2023-09-23 19:58 ./usr/share/doc/yosys/yosyshqyosys.pdf


yosys_0.33-5_amd64.deb
----------------------

 new Debian package, version 2.0.
 size 3024444 bytes: control archive=6468 bytes.
     901 bytes,    18 lines      control
   16263 bytes,   229 lines      md5sums
     289 bytes,    12 lines   *  postinst             #!/bin/sh
     370 bytes,    12 lines   *  prerm                #!/bin/sh
 Package: yosys
 Version: 0.33-5
 Architecture: amd64
 Maintainer: Debian Science Maintainers <debian-science-maintainers at lists.alioth.debian.org>
 Installed-Size: 12433
 Depends: libc6 (>= 2.35), libffi8 (>= 3.4), libgcc-s1 (>= 3.3.1), libreadline8 (>= 6.0), libstdc++6 (>= 13.1), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0), python3:any, python3-click, yosys-abc (>= 0.32-1)
 Recommends: xdot
 Section: electronics
 Priority: optional
 Homepage: https://github.com/YosysHQ/yosys
 Description: Framework for Verilog RTL synthesis
  This is a framework for Verilog RTL synthesis. It currently has extensive
  Verilog-2005 support and provides a basic set of synthesis algorithms for
  various application domains.
  .
  Yosys can be adapted to perform any synthesis job by combining the existing
  passes (algorithms) using synthesis scripts and adding additional passes as
  needed by extending the yosys C++ code base.

drwxr-xr-x root/root         0 2023-09-23 19:58 ./
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/bin/
-rwxr-xr-x root/root   8170240 2023-09-23 19:58 ./usr/bin/yosys
-rwxr-xr-x root/root     39088 2023-09-23 19:58 ./usr/bin/yosys-filterlib
-rwxr-xr-x root/root     69619 2023-09-23 19:58 ./usr/bin/yosys-smtbmc
-rwxr-xr-x root/root     15989 2023-09-23 19:58 ./usr/bin/yosys-witness
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/doc/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/doc/yosys/
-rw-r--r-- root/root      9286 2023-09-05 06:08 ./usr/share/doc/yosys/README.md.gz
-rw-r--r-- root/root      2339 2023-09-23 19:58 ./usr/share/doc/yosys/changelog.Debian.gz
-rw-r--r-- root/root     15709 2023-09-05 06:08 ./usr/share/doc/yosys/changelog.gz
-rw-r--r-- root/root     20986 2023-09-06 09:03 ./usr/share/doc/yosys/copyright
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/man/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/man/man1/
-rw-r--r-- root/root       963 2023-09-23 19:58 ./usr/share/man/man1/yosys-filterlib.1.gz
-rw-r--r-- root/root       653 2023-09-23 19:58 ./usr/share/man/man1/yosys-smtbmc.1.gz
-rw-r--r-- root/root       447 2023-09-23 19:58 ./usr/share/man/man1/yosys-witness.1.gz
-rw-r--r-- root/root      1781 2023-09-23 19:58 ./usr/share/man/man1/yosys.1.gz
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/python3/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/python3/runtime.d/
-rwxr-xr-x root/root       125 2023-09-23 19:58 ./usr/share/python3/runtime.d/yosys.rtupdate
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/
-rw-r--r-- root/root       923 2023-09-23 19:58 ./usr/share/yosys/abc9_map.v
-rw-r--r-- root/root       653 2023-09-23 19:58 ./usr/share/yosys/abc9_model.v
-rw-r--r-- root/root       638 2023-09-23 19:58 ./usr/share/yosys/abc9_unmap.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/achronix/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/achronix/speedster22i/
-rw-r--r-- root/root      2629 2023-09-23 19:58 ./usr/share/yosys/achronix/speedster22i/cells_map.v
-rw-r--r-- root/root      2343 2023-09-23 19:58 ./usr/share/yosys/achronix/speedster22i/cells_sim.v
-rw-r--r-- root/root       575 2023-09-23 19:58 ./usr/share/yosys/adff2dff.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/anlogic/
-rw-r--r-- root/root      2473 2023-09-23 19:58 ./usr/share/yosys/anlogic/arith_map.v
-rw-r--r-- root/root      1137 2023-09-23 19:58 ./usr/share/yosys/anlogic/brams.txt
-rw-r--r-- root/root     12152 2023-09-23 19:58 ./usr/share/yosys/anlogic/brams_map.v
-rw-r--r-- root/root      3928 2023-09-23 19:58 ./usr/share/yosys/anlogic/cells_map.v
-rw-r--r-- root/root      3209 2023-09-23 19:58 ./usr/share/yosys/anlogic/cells_sim.v
-rw-r--r-- root/root     33586 2023-09-23 19:58 ./usr/share/yosys/anlogic/eagle_bb.v
-rw-r--r-- root/root       151 2023-09-23 19:58 ./usr/share/yosys/anlogic/lutrams.txt
-rw-r--r-- root/root       661 2023-09-23 19:58 ./usr/share/yosys/anlogic/lutrams_map.v
-rw-r--r-- root/root      2432 2023-09-23 19:58 ./usr/share/yosys/cells.lib
-rw-r--r-- root/root      5260 2023-09-23 19:58 ./usr/share/yosys/cmp2lcu.v
-rw-r--r-- root/root      2540 2023-09-23 19:58 ./usr/share/yosys/cmp2lut.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/coolrunner2/
-rw-r--r-- root/root      4884 2023-09-23 19:58 ./usr/share/yosys/coolrunner2/cells_counter_map.v
-rw-r--r-- root/root       367 2023-09-23 19:58 ./usr/share/yosys/coolrunner2/cells_latch.v
-rw-r--r-- root/root      5435 2023-09-23 19:58 ./usr/share/yosys/coolrunner2/cells_sim.v
-rw-r--r-- root/root       465 2023-09-23 19:58 ./usr/share/yosys/coolrunner2/tff_extract.v
-rw-r--r-- root/root       839 2023-09-23 19:58 ./usr/share/yosys/coolrunner2/xc2_dff.lib
-rw-r--r-- root/root       299 2023-09-23 19:58 ./usr/share/yosys/dff2ff.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/ecp5/
-rw-r--r-- root/root      2591 2023-09-23 19:58 ./usr/share/yosys/ecp5/arith_map.v
-rw-r--r-- root/root       822 2023-09-23 19:58 ./usr/share/yosys/ecp5/brams.txt
-rw-r--r-- root/root     11917 2023-09-23 19:58 ./usr/share/yosys/ecp5/brams_map.v
-rw-r--r-- root/root     36560 2023-09-23 19:58 ./usr/share/yosys/ecp5/cells_bb.v
-rw-r--r-- root/root      6129 2023-09-23 19:58 ./usr/share/yosys/ecp5/cells_ff.vh
-rw-r--r-- root/root      2055 2023-09-23 19:58 ./usr/share/yosys/ecp5/cells_io.vh
-rw-r--r-- root/root     15010 2023-09-23 19:58 ./usr/share/yosys/ecp5/cells_map.v
-rw-r--r-- root/root     22821 2023-09-23 19:58 ./usr/share/yosys/ecp5/cells_sim.v
-rw-r--r-- root/root      1436 2023-09-23 19:58 ./usr/share/yosys/ecp5/dsp_map.v
-rw-r--r-- root/root       258 2023-09-23 19:58 ./usr/share/yosys/ecp5/latches_map.v
-rw-r--r-- root/root       145 2023-09-23 19:58 ./usr/share/yosys/ecp5/lutrams.txt
-rw-r--r-- root/root       519 2023-09-23 19:58 ./usr/share/yosys/ecp5/lutrams_map.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/efinix/
-rw-r--r-- root/root      2437 2023-09-23 19:58 ./usr/share/yosys/efinix/arith_map.v
-rw-r--r-- root/root       296 2023-09-23 19:58 ./usr/share/yosys/efinix/brams.txt
-rw-r--r-- root/root      3603 2023-09-23 19:58 ./usr/share/yosys/efinix/brams_map.v
-rw-r--r-- root/root      2693 2023-09-23 19:58 ./usr/share/yosys/efinix/cells_map.v
-rw-r--r-- root/root      5391 2023-09-23 19:58 ./usr/share/yosys/efinix/cells_sim.v
-rw-r--r-- root/root       131 2023-09-23 19:58 ./usr/share/yosys/efinix/gbuf_map.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/fabulous/
-rw-r--r-- root/root      1385 2023-09-23 19:58 ./usr/share/yosys/fabulous/arith_map.v
-rw-r--r-- root/root      1063 2023-09-23 19:58 ./usr/share/yosys/fabulous/cells_map.v
-rw-r--r-- root/root       720 2023-09-23 19:58 ./usr/share/yosys/fabulous/ff_map.v
-rw-r--r-- root/root       290 2023-09-23 19:58 ./usr/share/yosys/fabulous/io_map.v
-rw-r--r-- root/root       258 2023-09-23 19:58 ./usr/share/yosys/fabulous/latches_map.v
-rw-r--r-- root/root     11731 2023-09-23 19:58 ./usr/share/yosys/fabulous/prims.v
-rw-r--r-- root/root       782 2023-09-23 19:58 ./usr/share/yosys/fabulous/ram_regfile.txt
-rw-r--r-- root/root      1431 2023-09-23 19:58 ./usr/share/yosys/fabulous/regfile_map.v
-rw-r--r-- root/root      1447 2023-09-23 19:58 ./usr/share/yosys/gate2lut.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/gatemate/
-rw-r--r-- root/root      2016 2023-09-23 19:58 ./usr/share/yosys/gatemate/arith_map.v
-rw-r--r-- root/root      1230 2023-09-23 19:58 ./usr/share/yosys/gatemate/brams.txt
-rw-r--r-- root/root      4480 2023-09-23 19:58 ./usr/share/yosys/gatemate/brams_init_20.vh
-rw-r--r-- root/root     17970 2023-09-23 19:58 ./usr/share/yosys/gatemate/brams_init_40.vh
-rw-r--r-- root/root     29326 2023-09-23 19:58 ./usr/share/yosys/gatemate/brams_map.v
-rw-r--r-- root/root      3649 2023-09-23 19:58 ./usr/share/yosys/gatemate/cells_bb.v
-rw-r--r-- root/root     65939 2023-09-23 19:58 ./usr/share/yosys/gatemate/cells_sim.v
-rw-r--r-- root/root       180 2023-09-23 19:58 ./usr/share/yosys/gatemate/inv_map.v
-rw-r--r-- root/root      1558 2023-09-23 19:58 ./usr/share/yosys/gatemate/lut_map.v
-rw-r--r-- root/root      6422 2023-09-23 19:58 ./usr/share/yosys/gatemate/lut_tree_cells.genlib
-rw-r--r-- root/root     19119 2023-09-23 19:58 ./usr/share/yosys/gatemate/lut_tree_map.v
-rw-r--r-- root/root      2029 2023-09-23 19:58 ./usr/share/yosys/gatemate/mul_map.v
-rw-r--r-- root/root      1493 2023-09-23 19:58 ./usr/share/yosys/gatemate/mux_map.v
-rw-r--r-- root/root      1871 2023-09-23 19:58 ./usr/share/yosys/gatemate/reg_map.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/gowin/
-rw-r--r-- root/root      2104 2023-09-23 19:58 ./usr/share/yosys/gowin/arith_map.v
-rw-r--r-- root/root      1225 2023-09-23 19:58 ./usr/share/yosys/gowin/brams.txt
-rw-r--r-- root/root      9019 2023-09-23 19:58 ./usr/share/yosys/gowin/brams_map.v
-rw-r--r-- root/root      6100 2023-09-23 19:58 ./usr/share/yosys/gowin/cells_map.v
-rw-r--r-- root/root     42970 2023-09-23 19:58 ./usr/share/yosys/gowin/cells_sim.v
-rw-r--r-- root/root    100151 2023-09-23 19:58 ./usr/share/yosys/gowin/cells_xtra.v
-rw-r--r-- root/root       160 2023-09-23 19:58 ./usr/share/yosys/gowin/lutrams.txt
-rw-r--r-- root/root      1122 2023-09-23 19:58 ./usr/share/yosys/gowin/lutrams_map.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/greenpak4/
-rw-r--r-- root/root       365 2023-09-23 19:58 ./usr/share/yosys/greenpak4/cells_blackbox.v
-rw-r--r-- root/root       248 2023-09-23 19:58 ./usr/share/yosys/greenpak4/cells_latch.v
-rw-r--r-- root/root      5298 2023-09-23 19:58 ./usr/share/yosys/greenpak4/cells_map.v
-rw-r--r-- root/root       105 2023-09-23 19:58 ./usr/share/yosys/greenpak4/cells_sim.v
-rw-r--r-- root/root      2072 2023-09-23 19:58 ./usr/share/yosys/greenpak4/cells_sim_ams.v
-rw-r--r-- root/root     15006 2023-09-23 19:58 ./usr/share/yosys/greenpak4/cells_sim_digital.v
-rw-r--r-- root/root      2926 2023-09-23 19:58 ./usr/share/yosys/greenpak4/cells_sim_wip.v
-rw-r--r-- root/root       998 2023-09-23 19:58 ./usr/share/yosys/greenpak4/gp_dff.lib
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/ice40/
-rw-r--r-- root/root      3612 2023-09-23 19:58 ./usr/share/yosys/ice40/abc9_model.v
-rw-r--r-- root/root      2172 2023-09-23 19:58 ./usr/share/yosys/ice40/arith_map.v
-rw-r--r-- root/root       300 2023-09-23 19:58 ./usr/share/yosys/ice40/brams.txt
-rw-r--r-- root/root      3958 2023-09-23 19:58 ./usr/share/yosys/ice40/brams_map.v
-rw-r--r-- root/root      1087 2023-09-23 19:58 ./usr/share/yosys/ice40/cells_map.v
-rw-r--r-- root/root    153943 2023-09-23 19:58 ./usr/share/yosys/ice40/cells_sim.v
-rw-r--r-- root/root       789 2023-09-23 19:58 ./usr/share/yosys/ice40/dsp_map.v
-rw-r--r-- root/root      3043 2023-09-23 19:58 ./usr/share/yosys/ice40/ff_map.v
-rw-r--r-- root/root       258 2023-09-23 19:58 ./usr/share/yosys/ice40/latches_map.v
-rw-r--r-- root/root       153 2023-09-23 19:58 ./usr/share/yosys/ice40/spram.txt
-rw-r--r-- root/root       475 2023-09-23 19:58 ./usr/share/yosys/ice40/spram_map.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/intel/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/intel/common/
-rw-r--r-- root/root     14302 2023-09-23 19:58 ./usr/share/yosys/intel/common/altpll_bb.v
-rw-r--r-- root/root       518 2023-09-23 19:58 ./usr/share/yosys/intel/common/brams_m9k.txt
-rw-r--r-- root/root      4319 2023-09-23 19:58 ./usr/share/yosys/intel/common/brams_map_m9k.v
-rw-r--r-- root/root       630 2023-09-23 19:58 ./usr/share/yosys/intel/common/ff_map.v
-rw-r--r-- root/root      3076 2023-09-23 19:58 ./usr/share/yosys/intel/common/m9k_bb.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/intel/cyclone10lp/
-rw-r--r-- root/root      3442 2023-09-23 19:58 ./usr/share/yosys/intel/cyclone10lp/cells_map.v
-rw-r--r-- root/root      4373 2023-09-23 19:58 ./usr/share/yosys/intel/cyclone10lp/cells_sim.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/intel/cycloneiv/
-rw-r--r-- root/root      2302 2023-09-23 19:58 ./usr/share/yosys/intel/cycloneiv/cells_map.v
-rw-r--r-- root/root      9690 2023-09-23 19:58 ./usr/share/yosys/intel/cycloneiv/cells_sim.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/intel/cycloneive/
-rw-r--r-- root/root      3437 2023-09-23 19:58 ./usr/share/yosys/intel/cycloneive/cells_map.v
-rw-r--r-- root/root      9839 2023-09-23 19:58 ./usr/share/yosys/intel/cycloneive/cells_sim.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/intel/max10/
-rw-r--r-- root/root      2318 2023-09-23 19:58 ./usr/share/yosys/intel/max10/cells_map.v
-rw-r--r-- root/root      9538 2023-09-23 19:58 ./usr/share/yosys/intel/max10/cells_sim.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/intel_alm/
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/
-rw-r--r-- root/root       632 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/abc9_map.v
-rw-r--r-- root/root       342 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/abc9_model.v
-rw-r--r-- root/root       352 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/abc9_unmap.v
-rw-r--r-- root/root      1504 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/alm_map.v
-rw-r--r-- root/root     16659 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/alm_sim.v
-rw-r--r-- root/root      2096 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/arith_alm_map.v
-rw-r--r-- root/root       578 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/bram_m10k.txt
-rw-r--r-- root/root       746 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/bram_m10k_map.v
-rw-r--r-- root/root       780 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/bram_m20k.txt
-rw-r--r-- root/root       696 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/bram_m20k_map.v
-rw-r--r-- root/root       579 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/dff_map.v
-rw-r--r-- root/root      4803 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/dff_sim.v
-rw-r--r-- root/root       891 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/dsp_map.v
-rw-r--r-- root/root      2238 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/dsp_sim.v
-rw-r--r-- root/root       316 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/lutram_mlab.txt
-rw-r--r-- root/root     23589 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/megafunction_bb.v
-rw-r--r-- root/root      5317 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/mem_sim.v
-rw-r--r-- root/root       562 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/misc_sim.v
-rw-r--r-- root/root      7552 2023-09-23 19:58 ./usr/share/yosys/intel_alm/common/quartus_rename.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/intel_alm/cyclonev/
-rw-r--r-- root/root      5522 2023-09-23 19:58 ./usr/share/yosys/intel_alm/cyclonev/cells_sim.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/lattice/
-rw-r--r-- root/root      2592 2023-09-23 19:58 ./usr/share/yosys/lattice/arith_map_ccu2c.v
-rw-r--r-- root/root      2596 2023-09-23 19:58 ./usr/share/yosys/lattice/arith_map_ccu2d.v
-rw-r--r-- root/root       812 2023-09-23 19:58 ./usr/share/yosys/lattice/brams_16kd.txt
-rw-r--r-- root/root       776 2023-09-23 19:58 ./usr/share/yosys/lattice/brams_8kc.txt
-rw-r--r-- root/root     11907 2023-09-23 19:58 ./usr/share/yosys/lattice/brams_map_16kd.v
-rw-r--r-- root/root      7750 2023-09-23 19:58 ./usr/share/yosys/lattice/brams_map_8kc.v
-rw-r--r-- root/root      1624 2023-09-23 19:58 ./usr/share/yosys/lattice/ccu2c_sim.vh
-rw-r--r-- root/root      1083 2023-09-23 19:58 ./usr/share/yosys/lattice/ccu2d_sim.vh
-rw-r--r-- root/root     61140 2023-09-23 19:58 ./usr/share/yosys/lattice/cells_bb_ecp5.v
-rw-r--r-- root/root     20410 2023-09-23 19:58 ./usr/share/yosys/lattice/cells_bb_xo2.v
-rw-r--r-- root/root     20410 2023-09-23 19:58 ./usr/share/yosys/lattice/cells_bb_xo3.v
-rw-r--r-- root/root     20429 2023-09-23 19:58 ./usr/share/yosys/lattice/cells_bb_xo3d.v
-rw-r--r-- root/root      6129 2023-09-23 19:58 ./usr/share/yosys/lattice/cells_ff.vh
-rw-r--r-- root/root      2055 2023-09-23 19:58 ./usr/share/yosys/lattice/cells_io.vh
-rw-r--r-- root/root     15010 2023-09-23 19:58 ./usr/share/yosys/lattice/cells_map.v
-rw-r--r-- root/root       125 2023-09-23 19:58 ./usr/share/yosys/lattice/cells_sim_ecp5.v
-rw-r--r-- root/root       125 2023-09-23 19:58 ./usr/share/yosys/lattice/cells_sim_xo2.v
-rw-r--r-- root/root       125 2023-09-23 19:58 ./usr/share/yosys/lattice/cells_sim_xo3.v
-rw-r--r-- root/root       125 2023-09-23 19:58 ./usr/share/yosys/lattice/cells_sim_xo3d.v
-rw-r--r-- root/root      9492 2023-09-23 19:58 ./usr/share/yosys/lattice/common_sim.vh
-rw-r--r-- root/root      1436 2023-09-23 19:58 ./usr/share/yosys/lattice/dsp_map_18x18.v
-rw-r--r-- root/root       258 2023-09-23 19:58 ./usr/share/yosys/lattice/latches_map.v
-rw-r--r-- root/root       145 2023-09-23 19:58 ./usr/share/yosys/lattice/lutrams.txt
-rw-r--r-- root/root       519 2023-09-23 19:58 ./usr/share/yosys/lattice/lutrams_map.v
-rw-r--r-- root/root      9065 2023-09-23 19:58 ./usr/share/yosys/mul2dsp.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/nexus/
-rw-r--r-- root/root      2804 2023-09-23 19:58 ./usr/share/yosys/nexus/arith_map.v
-rw-r--r-- root/root       882 2023-09-23 19:58 ./usr/share/yosys/nexus/brams.txt
-rw-r--r-- root/root     15609 2023-09-23 19:58 ./usr/share/yosys/nexus/brams_map.v
-rw-r--r-- root/root      3947 2023-09-23 19:58 ./usr/share/yosys/nexus/cells_map.v
-rw-r--r-- root/root     25106 2023-09-23 19:58 ./usr/share/yosys/nexus/cells_sim.v
-rw-r--r-- root/root   1161486 2023-09-23 19:58 ./usr/share/yosys/nexus/cells_xtra.v
-rw-r--r-- root/root      1671 2023-09-23 19:58 ./usr/share/yosys/nexus/dsp_map.v
-rw-r--r-- root/root       258 2023-09-23 19:58 ./usr/share/yosys/nexus/latches_map.v
-rw-r--r-- root/root       324 2023-09-23 19:58 ./usr/share/yosys/nexus/lrams.txt
-rw-r--r-- root/root      8557 2023-09-23 19:58 ./usr/share/yosys/nexus/lrams_map.v
-rw-r--r-- root/root       148 2023-09-23 19:58 ./usr/share/yosys/nexus/lutrams.txt
-rw-r--r-- root/root       428 2023-09-23 19:58 ./usr/share/yosys/nexus/lutrams_map.v
-rw-r--r-- root/root       855 2023-09-23 19:58 ./usr/share/yosys/nexus/parse_init.vh
-rw-r--r-- root/root       342 2023-09-23 19:58 ./usr/share/yosys/pmux2mux.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/quicklogic/
-rw-r--r-- root/root       771 2023-09-23 19:58 ./usr/share/yosys/quicklogic/abc9_map.v
-rw-r--r-- root/root       197 2023-09-23 19:58 ./usr/share/yosys/quicklogic/abc9_model.v
-rw-r--r-- root/root       384 2023-09-23 19:58 ./usr/share/yosys/quicklogic/abc9_unmap.v
-rw-r--r-- root/root       366 2023-09-23 19:58 ./usr/share/yosys/quicklogic/cells_sim.v
-rw-r--r-- root/root      1862 2023-09-23 19:58 ./usr/share/yosys/quicklogic/lut_sim.v
-rw-r--r-- root/root       499 2023-09-23 19:58 ./usr/share/yosys/quicklogic/pp3_cells_map.v
-rw-r--r-- root/root      6064 2023-09-23 19:58 ./usr/share/yosys/quicklogic/pp3_cells_sim.v
-rw-r--r-- root/root       194 2023-09-23 19:58 ./usr/share/yosys/quicklogic/pp3_ffs_map.v
-rw-r--r-- root/root       258 2023-09-23 19:58 ./usr/share/yosys/quicklogic/pp3_latches_map.v
-rw-r--r-- root/root       928 2023-09-23 19:58 ./usr/share/yosys/quicklogic/pp3_lut_map.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/sf2/
-rw-r--r-- root/root      1942 2023-09-23 19:58 ./usr/share/yosys/sf2/arith_map.v
-rw-r--r-- root/root      1745 2023-09-23 19:58 ./usr/share/yosys/sf2/cells_map.v
-rw-r--r-- root/root      8449 2023-09-23 19:58 ./usr/share/yosys/sf2/cells_sim.v
-rw-r--r-- root/root     87795 2023-09-23 19:58 ./usr/share/yosys/simcells.v
-rw-r--r-- root/root     63685 2023-09-23 19:58 ./usr/share/yosys/simlib.v
-rw-r--r-- root/root     44972 2023-09-23 19:58 ./usr/share/yosys/smtio.py
-rw-r--r-- root/root       654 2023-09-23 19:58 ./usr/share/yosys/smtmap.v
-rw-r--r-- root/root     16929 2023-09-23 19:58 ./usr/share/yosys/techmap.v
drwxr-xr-x root/root         0 2023-09-23 19:58 ./usr/share/yosys/xilinx/
-rw-r--r-- root/root      1497 2023-09-23 19:58 ./usr/share/yosys/xilinx/abc9_model.v
-rw-r--r-- root/root      4593 2023-09-23 19:58 ./usr/share/yosys/xilinx/arith_map.v
-rw-r--r-- root/root     15515 2023-09-23 19:58 ./usr/share/yosys/xilinx/brams_defs.vh
-rw-r--r-- root/root       665 2023-09-23 19:58 ./usr/share/yosys/xilinx/brams_xc2v.txt
-rw-r--r-- root/root     11752 2023-09-23 19:58 ./usr/share/yosys/xilinx/brams_xc2v_map.v
-rw-r--r-- root/root      2357 2023-09-23 19:58 ./usr/share/yosys/xilinx/brams_xc3sda.txt
-rw-r--r-- root/root      5591 2023-09-23 19:58 ./usr/share/yosys/xilinx/brams_xc3sda_map.v
-rw-r--r-- root/root      3268 2023-09-23 19:58 ./usr/share/yosys/xilinx/brams_xc4v.txt
-rw-r--r-- root/root      3501 2023-09-23 19:58 ./usr/share/yosys/xilinx/brams_xc4v_map.v
-rw-r--r-- root/root      5590 2023-09-23 19:58 ./usr/share/yosys/xilinx/brams_xc5v_map.v
-rw-r--r-- root/root      7211 2023-09-23 19:58 ./usr/share/yosys/xilinx/brams_xc6v_map.v
-rw-r--r-- root/root      5949 2023-09-23 19:58 ./usr/share/yosys/xilinx/brams_xcu_map.v
-rw-r--r-- root/root       302 2023-09-23 19:58 ./usr/share/yosys/xilinx/brams_xcv.txt
-rw-r--r-- root/root      5210 2023-09-23 19:58 ./usr/share/yosys/xilinx/brams_xcv_map.v
-rw-r--r-- root/root     16017 2023-09-23 19:58 ./usr/share/yosys/xilinx/cells_map.v
-rw-r--r-- root/root    161270 2023-09-23 19:58 ./usr/share/yosys/xilinx/cells_sim.v
-rw-r--r-- root/root   1375136 2023-09-23 19:58 ./usr/share/yosys/xilinx/cells_xtra.v
-rw-r--r-- root/root      4943 2023-09-23 19:58 ./usr/share/yosys/xilinx/ff_map.v
-rw-r--r-- root/root      3503 2023-09-23 19:58 ./usr/share/yosys/xilinx/lut_map.v
-rw-r--r-- root/root      1364 2023-09-23 19:58 ./usr/share/yosys/xilinx/lutrams_xc5v.txt
-rw-r--r-- root/root     19522 2023-09-23 19:58 ./usr/share/yosys/xilinx/lutrams_xc5v_map.v
-rw-r--r-- root/root      2167 2023-09-23 19:58 ./usr/share/yosys/xilinx/lutrams_xcu.txt
-rw-r--r-- root/root       797 2023-09-23 19:58 ./usr/share/yosys/xilinx/lutrams_xcv.txt
-rw-r--r-- root/root      3209 2023-09-23 19:58 ./usr/share/yosys/xilinx/lutrams_xcv_map.v
-rw-r--r-- root/root      2498 2023-09-23 19:58 ./usr/share/yosys/xilinx/mux_map.v
-rw-r--r-- root/root       617 2023-09-23 19:58 ./usr/share/yosys/xilinx/urams.txt
-rw-r--r-- root/root      3552 2023-09-23 19:58 ./usr/share/yosys/xilinx/urams_map.v
-rw-r--r-- root/root       266 2023-09-23 19:58 ./usr/share/yosys/xilinx/xc3s_mult_map.v
-rw-r--r-- root/root       560 2023-09-23 19:58 ./usr/share/yosys/xilinx/xc3sda_dsp_map.v
-rw-r--r-- root/root       661 2023-09-23 19:58 ./usr/share/yosys/xilinx/xc4v_dsp_map.v
-rw-r--r-- root/root       785 2023-09-23 19:58 ./usr/share/yosys/xilinx/xc5v_dsp_map.v
-rw-r--r-- root/root       562 2023-09-23 19:58 ./usr/share/yosys/xilinx/xc6s_dsp_map.v
-rw-r--r-- root/root       884 2023-09-23 19:58 ./usr/share/yosys/xilinx/xc7_dsp_map.v
-rw-r--r-- root/root       882 2023-09-23 19:58 ./usr/share/yosys/xilinx/xcu_dsp_map.v
-rw-r--r-- root/root     12249 2023-09-23 19:58 ./usr/share/yosys/ywio.py


lintian
-------


Setup apt archive
-----------------

Merged Build-Depends: lintian
Filtered Build-Depends: lintian
dpkg-deb: building package 'sbuild-build-depends-lintian-dummy' in '/<<RESOLVERDIR>>/apt_archive/sbuild-build-depends-lintian-dummy.deb'.
Ign:1 copy:/<<RESOLVERDIR>>/apt_archive ./ InRelease
Get:2 copy:/<<RESOLVERDIR>>/apt_archive ./ Release [615 B]
Ign:3 copy:/<<RESOLVERDIR>>/apt_archive ./ Release.gpg
Get:4 copy:/<<RESOLVERDIR>>/apt_archive ./ Sources [1692 B]
Get:5 copy:/<<RESOLVERDIR>>/apt_archive ./ Packages [1735 B]
Fetched 4042 B in 0s (0 B/s)
Reading package lists...
Reading package lists...

Install lintian build dependencies (apt-based resolver)
-------------------------------------------------------

Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following additional packages will be installed:
  diffstat gpg gpgconf iso-codes libaliased-perl libapt-pkg-perl libassuan0
  libb-hooks-endofscope-perl libb-hooks-op-check-perl libberkeleydb-perl
  libcapture-tiny-perl libcgi-pm-perl libclass-data-inheritable-perl
  libclass-method-modifiers-perl libclass-xsaccessor-perl libclone-perl
  libconfig-tiny-perl libconst-fast-perl libcpanel-json-xs-perl
  libdata-dpath-perl libdata-messagepack-perl libdata-optlist-perl
  libdata-validate-domain-perl libdata-validate-ip-perl
  libdata-validate-uri-perl libdevel-callchecker-perl libdevel-size-perl
  libdevel-stacktrace-perl libdynaloader-functions-perl
  libemail-address-xs-perl libencode-locale-perl libexception-class-perl
  libfile-basedir-perl libfile-find-rule-perl libfile-listing-perl
  libfont-ttf-perl libhtml-form-perl libhtml-html5-entities-perl
  libhtml-parser-perl libhtml-tagset-perl libhtml-tokeparser-simple-perl
  libhtml-tree-perl libhttp-cookies-perl libhttp-date-perl
  libhttp-message-perl libhttp-negotiate-perl libimport-into-perl
  libio-html-perl libio-interactive-perl libio-socket-ssl-perl
  libio-string-perl libipc-run3-perl libipc-system-simple-perl
  libiterator-perl libiterator-util-perl libjson-maybexs-perl
  liblist-compare-perl liblist-someutils-perl liblist-utilsby-perl
  liblwp-mediatypes-perl liblwp-protocol-https-perl liblz1 liblzo2-2
  libmarkdown2 libmldbm-perl libmodule-implementation-perl
  libmodule-runtime-perl libmoo-perl libmoox-aliases-perl libmouse-perl
  libnamespace-clean-perl libnet-domain-tld-perl libnet-http-perl
  libnet-ipv6addr-perl libnet-netmask-perl libnet-ssleay-perl
  libnetaddr-ip-perl libnumber-compare-perl libpackage-stash-perl
  libparams-classify-perl libparams-util-perl libpath-tiny-perl
  libperlio-gzip-perl libperlio-utf8-strict-perl libproc-processtable-perl
  libregexp-wildcards-perl librole-tiny-perl libsereal-decoder-perl
  libsereal-encoder-perl libsort-versions-perl libstrictures-perl
  libsub-exporter-perl libsub-exporter-progressive-perl libsub-identify-perl
  libsub-install-perl libsub-name-perl libsub-quote-perl
  libsyntax-keyword-try-perl libterm-readkey-perl libtext-glob-perl
  libtext-levenshteinxs-perl libtext-markdown-discount-perl
  libtext-xslate-perl libtime-duration-perl libtime-moment-perl
  libtimedate-perl libtry-tiny-perl libunicode-utf8-perl liburi-perl
  libvariable-magic-perl libwww-mechanize-perl libwww-perl
  libwww-robotrules-perl libxml-libxml-perl libxml-namespacesupport-perl
  libxml-sax-base-perl libxml-sax-perl libxs-parse-keyword-perl
  libyaml-libyaml-perl lintian lzop netbase patchutils perl-openssl-defaults
  plzip unzip
Suggested packages:
  isoquery libxml-parser-perl libdata-dump-perl libcrypt-ssleay-perl
  libscalar-number-perl libbareword-filehandles-perl libindirect-perl
  libmultidimensional-perl libbusiness-isbn-perl libregexp-ipv6-perl
  libauthen-ntlm-perl binutils-multiarch libtext-template-perl zip
Recommended packages:
  gnupg libcgi-fast-perl libhtml-format-perl libio-compress-brotli-perl
  liblist-someutils-xs-perl libfreezethaw-perl libmath-base85-perl
  libsocket6-perl libpackage-stash-xs-perl libxstring-perl libdata-dump-perl
  libhttp-daemon-perl libmailtools-perl libxml-sax-expat-perl
The following NEW packages will be installed:
  diffstat gpg gpgconf iso-codes libaliased-perl libapt-pkg-perl libassuan0
  libb-hooks-endofscope-perl libb-hooks-op-check-perl libberkeleydb-perl
  libcapture-tiny-perl libcgi-pm-perl libclass-data-inheritable-perl
  libclass-method-modifiers-perl libclass-xsaccessor-perl libclone-perl
  libconfig-tiny-perl libconst-fast-perl libcpanel-json-xs-perl
  libdata-dpath-perl libdata-messagepack-perl libdata-optlist-perl
  libdata-validate-domain-perl libdata-validate-ip-perl
  libdata-validate-uri-perl libdevel-callchecker-perl libdevel-size-perl
  libdevel-stacktrace-perl libdynaloader-functions-perl
  libemail-address-xs-perl libencode-locale-perl libexception-class-perl
  libfile-basedir-perl libfile-find-rule-perl libfile-listing-perl
  libfont-ttf-perl libhtml-form-perl libhtml-html5-entities-perl
  libhtml-parser-perl libhtml-tagset-perl libhtml-tokeparser-simple-perl
  libhtml-tree-perl libhttp-cookies-perl libhttp-date-perl
  libhttp-message-perl libhttp-negotiate-perl libimport-into-perl
  libio-html-perl libio-interactive-perl libio-socket-ssl-perl
  libio-string-perl libipc-run3-perl libipc-system-simple-perl
  libiterator-perl libiterator-util-perl libjson-maybexs-perl
  liblist-compare-perl liblist-someutils-perl liblist-utilsby-perl
  liblwp-mediatypes-perl liblwp-protocol-https-perl liblz1 liblzo2-2
  libmarkdown2 libmldbm-perl libmodule-implementation-perl
  libmodule-runtime-perl libmoo-perl libmoox-aliases-perl libmouse-perl
  libnamespace-clean-perl libnet-domain-tld-perl libnet-http-perl
  libnet-ipv6addr-perl libnet-netmask-perl libnet-ssleay-perl
  libnetaddr-ip-perl libnumber-compare-perl libpackage-stash-perl
  libparams-classify-perl libparams-util-perl libpath-tiny-perl
  libperlio-gzip-perl libperlio-utf8-strict-perl libproc-processtable-perl
  libregexp-wildcards-perl librole-tiny-perl libsereal-decoder-perl
  libsereal-encoder-perl libsort-versions-perl libstrictures-perl
  libsub-exporter-perl libsub-exporter-progressive-perl libsub-identify-perl
  libsub-install-perl libsub-name-perl libsub-quote-perl
  libsyntax-keyword-try-perl libterm-readkey-perl libtext-glob-perl
  libtext-levenshteinxs-perl libtext-markdown-discount-perl
  libtext-xslate-perl libtime-duration-perl libtime-moment-perl
  libtimedate-perl libtry-tiny-perl libunicode-utf8-perl liburi-perl
  libvariable-magic-perl libwww-mechanize-perl libwww-perl
  libwww-robotrules-perl libxml-libxml-perl libxml-namespacesupport-perl
  libxml-sax-base-perl libxml-sax-perl libxs-parse-keyword-perl
  libyaml-libyaml-perl lintian lzop netbase patchutils perl-openssl-defaults
  plzip sbuild-build-depends-lintian-dummy unzip
0 upgraded, 127 newly installed, 0 to remove and 0 not upgraded.
Need to get 11.4 MB of archives.
After this operation, 47.4 MB of additional disk space will be used.
Get:1 copy:/<<RESOLVERDIR>>/apt_archive ./ sbuild-build-depends-lintian-dummy 0.invalid.0 [840 B]
Get:2 http://deb.debian.org/debian unstable/main amd64 netbase all 6.4 [12.8 kB]
Get:3 http://deb.debian.org/debian unstable/main amd64 diffstat amd64 1.65-1 [33.3 kB]
Get:4 http://deb.debian.org/debian unstable/main amd64 libassuan0 amd64 2.5.6-1 [49.9 kB]
Get:5 http://deb.debian.org/debian unstable/main amd64 gpgconf amd64 2.2.40-1.1 [564 kB]
Get:6 http://deb.debian.org/debian unstable/main amd64 gpg amd64 2.2.40-1.1 [949 kB]
Get:7 http://deb.debian.org/debian unstable/main amd64 iso-codes all 4.15.0-1 [2906 kB]
Get:8 http://deb.debian.org/debian unstable/main amd64 libaliased-perl all 0.34-3 [13.5 kB]
Get:9 http://deb.debian.org/debian unstable/main amd64 libapt-pkg-perl amd64 0.1.40+b2 [69.2 kB]
Get:10 http://deb.debian.org/debian unstable/main amd64 libb-hooks-op-check-perl amd64 0.22-2+b1 [10.5 kB]
Get:11 http://deb.debian.org/debian unstable/main amd64 libdynaloader-functions-perl all 0.003-3 [12.7 kB]
Get:12 http://deb.debian.org/debian unstable/main amd64 libdevel-callchecker-perl amd64 0.008-2 [15.8 kB]
Get:13 http://deb.debian.org/debian unstable/main amd64 libparams-classify-perl amd64 0.015-2+b1 [23.1 kB]
Get:14 http://deb.debian.org/debian unstable/main amd64 libmodule-runtime-perl all 0.016-2 [19.6 kB]
Get:15 http://deb.debian.org/debian unstable/main amd64 libtry-tiny-perl all 0.31-2 [22.6 kB]
Get:16 http://deb.debian.org/debian unstable/main amd64 libmodule-implementation-perl all 0.09-2 [12.6 kB]
Get:17 http://deb.debian.org/debian unstable/main amd64 libsub-exporter-progressive-perl all 0.001013-3 [7496 B]
Get:18 http://deb.debian.org/debian unstable/main amd64 libvariable-magic-perl amd64 0.63-1+b1 [44.0 kB]
Get:19 http://deb.debian.org/debian unstable/main amd64 libb-hooks-endofscope-perl all 0.26-1 [19.6 kB]
Get:20 http://deb.debian.org/debian unstable/main amd64 libberkeleydb-perl amd64 0.64-2+b1 [123 kB]
Get:21 http://deb.debian.org/debian unstable/main amd64 libcapture-tiny-perl all 0.48-2 [24.6 kB]
Get:22 http://deb.debian.org/debian unstable/main amd64 libhtml-tagset-perl all 3.20-6 [11.7 kB]
Get:23 http://deb.debian.org/debian unstable/main amd64 liburi-perl all 5.21-1 [95.6 kB]
Get:24 http://deb.debian.org/debian unstable/main amd64 libhtml-parser-perl amd64 3.81-1 [101 kB]
Get:25 http://deb.debian.org/debian unstable/main amd64 libcgi-pm-perl all 4.57-1 [221 kB]
Get:26 http://deb.debian.org/debian unstable/main amd64 libclass-data-inheritable-perl all 0.08-3 [8588 B]
Get:27 http://deb.debian.org/debian unstable/main amd64 libclass-method-modifiers-perl all 2.15-1 [18.0 kB]
Get:28 http://deb.debian.org/debian unstable/main amd64 libclass-xsaccessor-perl amd64 1.19-4+b1 [36.4 kB]
Get:29 http://deb.debian.org/debian unstable/main amd64 libclone-perl amd64 0.46-1 [13.7 kB]
Get:30 http://deb.debian.org/debian unstable/main amd64 libconfig-tiny-perl all 2.29-1 [16.5 kB]
Get:31 http://deb.debian.org/debian unstable/main amd64 libparams-util-perl amd64 1.102-2+b1 [24.8 kB]
Get:32 http://deb.debian.org/debian unstable/main amd64 libsub-install-perl all 0.929-1 [10.5 kB]
Get:33 http://deb.debian.org/debian unstable/main amd64 libdata-optlist-perl all 0.114-1 [10.6 kB]
Get:34 http://deb.debian.org/debian unstable/main amd64 libsub-exporter-perl all 0.990-1 [50.6 kB]
Get:35 http://deb.debian.org/debian unstable/main amd64 libconst-fast-perl all 0.014-2 [8792 B]
Get:36 http://deb.debian.org/debian unstable/main amd64 libcpanel-json-xs-perl amd64 4.37-1 [131 kB]
Get:37 http://deb.debian.org/debian unstable/main amd64 libdevel-stacktrace-perl all 2.0400-2 [26.8 kB]
Get:38 http://deb.debian.org/debian unstable/main amd64 libexception-class-perl all 1.45-1 [34.6 kB]
Get:39 http://deb.debian.org/debian unstable/main amd64 libiterator-perl all 0.03+ds1-2 [18.8 kB]
Get:40 http://deb.debian.org/debian unstable/main amd64 libiterator-util-perl all 0.02+ds1-2 [14.0 kB]
Get:41 http://deb.debian.org/debian unstable/main amd64 libdata-dpath-perl all 0.58-2 [43.6 kB]
Get:42 http://deb.debian.org/debian unstable/main amd64 libdata-messagepack-perl amd64 1.02-1+b1 [35.2 kB]
Get:43 http://deb.debian.org/debian unstable/main amd64 libnet-domain-tld-perl all 1.75-3 [31.9 kB]
Get:44 http://deb.debian.org/debian unstable/main amd64 libdata-validate-domain-perl all 0.10-1.1 [11.1 kB]
Get:45 http://deb.debian.org/debian unstable/main amd64 libnet-ipv6addr-perl all 1.02-1 [21.7 kB]
Get:46 http://deb.debian.org/debian unstable/main amd64 libnet-netmask-perl all 2.0002-2 [28.6 kB]
Get:47 http://deb.debian.org/debian unstable/main amd64 libnetaddr-ip-perl amd64 4.079+dfsg-2+b1 [99.5 kB]
Get:48 http://deb.debian.org/debian unstable/main amd64 libdata-validate-ip-perl all 0.31-1 [20.6 kB]
Get:49 http://deb.debian.org/debian unstable/main amd64 libdata-validate-uri-perl all 0.07-2 [11.2 kB]
Get:50 http://deb.debian.org/debian unstable/main amd64 libdevel-size-perl amd64 0.83-2+b1 [24.3 kB]
Get:51 http://deb.debian.org/debian unstable/main amd64 libemail-address-xs-perl amd64 1.05-1+b1 [29.4 kB]
Get:52 http://deb.debian.org/debian unstable/main amd64 libencode-locale-perl all 1.05-3 [12.9 kB]
Get:53 http://deb.debian.org/debian unstable/main amd64 libipc-system-simple-perl all 1.30-2 [26.8 kB]
Get:54 http://deb.debian.org/debian unstable/main amd64 libfile-basedir-perl all 0.09-2 [15.1 kB]
Get:55 http://deb.debian.org/debian unstable/main amd64 libnumber-compare-perl all 0.03-3 [6332 B]
Get:56 http://deb.debian.org/debian unstable/main amd64 libtext-glob-perl all 0.11-3 [7676 B]
Get:57 http://deb.debian.org/debian unstable/main amd64 libfile-find-rule-perl all 0.34-3 [26.6 kB]
Get:58 http://deb.debian.org/debian unstable/main amd64 libtimedate-perl all 2.3300-2 [39.3 kB]
Get:59 http://deb.debian.org/debian unstable/main amd64 libhttp-date-perl all 6.05-2 [10.5 kB]
Get:60 http://deb.debian.org/debian unstable/main amd64 libfile-listing-perl all 6.15-1 [12.6 kB]
Get:61 http://deb.debian.org/debian unstable/main amd64 libio-string-perl all 1.08-4 [12.1 kB]
Get:62 http://deb.debian.org/debian unstable/main amd64 libfont-ttf-perl all 1.06-2 [318 kB]
Get:63 http://deb.debian.org/debian unstable/main amd64 libio-html-perl all 1.004-3 [16.2 kB]
Get:64 http://deb.debian.org/debian unstable/main amd64 liblwp-mediatypes-perl all 6.04-2 [20.2 kB]
Get:65 http://deb.debian.org/debian unstable/main amd64 libhttp-message-perl all 6.44-2 [81.8 kB]
Get:66 http://deb.debian.org/debian unstable/main amd64 libhtml-form-perl all 6.11-1 [33.1 kB]
Get:67 http://deb.debian.org/debian unstable/main amd64 libhtml-html5-entities-perl all 0.004-3 [21.0 kB]
Get:68 http://deb.debian.org/debian unstable/main amd64 libhtml-tree-perl all 5.07-3 [211 kB]
Get:69 http://deb.debian.org/debian unstable/main amd64 libhttp-cookies-perl all 6.10-1 [19.6 kB]
Get:70 http://deb.debian.org/debian unstable/main amd64 libhttp-negotiate-perl all 6.01-2 [13.1 kB]
Get:71 http://deb.debian.org/debian unstable/main amd64 perl-openssl-defaults amd64 7+b1 [7924 B]
Get:72 http://deb.debian.org/debian unstable/main amd64 libnet-ssleay-perl amd64 1.92-2+b1 [317 kB]
Get:73 http://deb.debian.org/debian unstable/main amd64 libio-socket-ssl-perl all 2.083-1 [218 kB]
Get:74 http://deb.debian.org/debian unstable/main amd64 libnet-http-perl all 6.23-1 [23.9 kB]
Get:75 http://deb.debian.org/debian unstable/main amd64 liblwp-protocol-https-perl all 6.11-1 [10.5 kB]
Get:76 http://deb.debian.org/debian unstable/main amd64 libwww-robotrules-perl all 6.02-1 [12.9 kB]
Get:77 http://deb.debian.org/debian unstable/main amd64 libwww-perl all 6.72-1 [187 kB]
Get:78 http://deb.debian.org/debian unstable/main amd64 libhtml-tokeparser-simple-perl all 3.16-4 [39.1 kB]
Get:79 http://deb.debian.org/debian unstable/main amd64 libimport-into-perl all 1.002005-2 [11.3 kB]
Get:80 http://deb.debian.org/debian unstable/main amd64 libio-interactive-perl all 1.023-2 [11.0 kB]
Get:81 http://deb.debian.org/debian unstable/main amd64 libipc-run3-perl all 0.048-3 [33.2 kB]
Get:82 http://deb.debian.org/debian unstable/main amd64 libjson-maybexs-perl all 1.004005-1 [12.9 kB]
Get:83 http://deb.debian.org/debian unstable/main amd64 liblist-compare-perl all 0.55-2 [65.7 kB]
Get:84 http://deb.debian.org/debian unstable/main amd64 liblist-someutils-perl all 0.59-1 [37.1 kB]
Get:85 http://deb.debian.org/debian unstable/main amd64 liblist-utilsby-perl all 0.12-2 [15.5 kB]
Get:86 http://deb.debian.org/debian unstable/main amd64 liblz1 amd64 1.13-6 [37.8 kB]
Get:87 http://deb.debian.org/debian unstable/main amd64 liblzo2-2 amd64 2.10-2 [56.9 kB]
Get:88 http://deb.debian.org/debian unstable/main amd64 libmarkdown2 amd64 2.2.7-2 [37.0 kB]
Get:89 http://deb.debian.org/debian unstable/main amd64 libmldbm-perl all 2.05-4 [16.8 kB]
Get:90 http://deb.debian.org/debian unstable/main amd64 librole-tiny-perl all 2.002004-1 [21.4 kB]
Get:91 http://deb.debian.org/debian unstable/main amd64 libsub-quote-perl all 2.006008-1 [21.8 kB]
Get:92 http://deb.debian.org/debian unstable/main amd64 libmoo-perl all 2.005005-1 [58.0 kB]
Get:93 http://deb.debian.org/debian unstable/main amd64 libstrictures-perl all 2.000006-1 [18.6 kB]
Get:94 http://deb.debian.org/debian unstable/main amd64 libmoox-aliases-perl all 0.001006-2 [7156 B]
Get:95 http://deb.debian.org/debian unstable/main amd64 libmouse-perl amd64 2.5.10-1+b3 [170 kB]
Get:96 http://deb.debian.org/debian unstable/main amd64 libpackage-stash-perl all 0.40-1 [22.0 kB]
Get:97 http://deb.debian.org/debian unstable/main amd64 libsub-identify-perl amd64 0.14-3 [10.9 kB]
Get:98 http://deb.debian.org/debian unstable/main amd64 libsub-name-perl amd64 0.27-1 [12.5 kB]
Get:99 http://deb.debian.org/debian unstable/main amd64 libnamespace-clean-perl all 0.27-2 [17.8 kB]
Get:100 http://deb.debian.org/debian unstable/main amd64 libpath-tiny-perl all 0.144-1 [56.4 kB]
Get:101 http://deb.debian.org/debian unstable/main amd64 libperlio-gzip-perl amd64 0.20-1+b1 [17.3 kB]
Get:102 http://deb.debian.org/debian unstable/main amd64 libperlio-utf8-strict-perl amd64 0.010-1 [11.4 kB]
Get:103 http://deb.debian.org/debian unstable/main amd64 libproc-processtable-perl amd64 0.636-1 [43.0 kB]
Get:104 http://deb.debian.org/debian unstable/main amd64 libregexp-wildcards-perl all 1.05-3 [14.1 kB]
Get:105 http://deb.debian.org/debian unstable/main amd64 libsereal-decoder-perl amd64 5.004+ds-1 [99.5 kB]
Get:106 http://deb.debian.org/debian unstable/main amd64 libsereal-encoder-perl amd64 5.004+ds-1 [102 kB]
Get:107 http://deb.debian.org/debian unstable/main amd64 libsort-versions-perl all 1.62-3 [8928 B]
Get:108 http://deb.debian.org/debian unstable/main amd64 libxs-parse-keyword-perl amd64 0.38-1 [60.0 kB]
Get:109 http://deb.debian.org/debian unstable/main amd64 libsyntax-keyword-try-perl amd64 0.29-1 [28.8 kB]
Get:110 http://deb.debian.org/debian unstable/main amd64 libterm-readkey-perl amd64 2.38-2+b1 [24.5 kB]
Get:111 http://deb.debian.org/debian unstable/main amd64 libtext-levenshteinxs-perl amd64 0.03-5+b1 [8404 B]
Get:112 http://deb.debian.org/debian unstable/main amd64 libtext-markdown-discount-perl amd64 0.16-1 [13.0 kB]
Get:113 http://deb.debian.org/debian unstable/main amd64 libtext-xslate-perl amd64 3.5.9-1+b2 [198 kB]
Get:114 http://deb.debian.org/debian unstable/main amd64 libtime-duration-perl all 1.21-2 [13.1 kB]
Get:115 http://deb.debian.org/debian unstable/main amd64 libtime-moment-perl amd64 0.44-2+b1 [73.0 kB]
Get:116 http://deb.debian.org/debian unstable/main amd64 libunicode-utf8-perl amd64 0.62-2 [20.2 kB]
Get:117 http://deb.debian.org/debian unstable/main amd64 libwww-mechanize-perl all 2.17-1 [116 kB]
Get:118 http://deb.debian.org/debian unstable/main amd64 libxml-namespacesupport-perl all 1.12-2 [15.1 kB]
Get:119 http://deb.debian.org/debian unstable/main amd64 libxml-sax-base-perl all 1.09-3 [20.6 kB]
Get:120 http://deb.debian.org/debian unstable/main amd64 libxml-sax-perl all 1.02+dfsg-3 [59.4 kB]
Get:121 http://deb.debian.org/debian unstable/main amd64 libxml-libxml-perl amd64 2.0207+dfsg+really+2.0134-1+b1 [322 kB]
Get:122 http://deb.debian.org/debian unstable/main amd64 libyaml-libyaml-perl amd64 0.86+ds-1 [34.4 kB]
Get:123 http://deb.debian.org/debian unstable/main amd64 plzip amd64 1.10-6 [63.1 kB]
Get:124 http://deb.debian.org/debian unstable/main amd64 lzop amd64 1.04-2 [84.2 kB]
Get:125 http://deb.debian.org/debian unstable/main amd64 patchutils amd64 0.4.2-1 [77.5 kB]
Get:126 http://deb.debian.org/debian unstable/main amd64 unzip amd64 6.0-28 [166 kB]
Get:127 http://deb.debian.org/debian unstable/main amd64 lintian all 2.116.3 [1130 kB]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 11.4 MB in 1s (7923 kB/s)
Selecting previously unselected package netbase.
(Reading database ... 160814 files and directories currently installed.)
Preparing to unpack .../000-netbase_6.4_all.deb ...
Unpacking netbase (6.4) ...
Selecting previously unselected package diffstat.
Preparing to unpack .../001-diffstat_1.65-1_amd64.deb ...
Unpacking diffstat (1.65-1) ...
Selecting previously unselected package libassuan0:amd64.
Preparing to unpack .../002-libassuan0_2.5.6-1_amd64.deb ...
Unpacking libassuan0:amd64 (2.5.6-1) ...
Selecting previously unselected package gpgconf.
Preparing to unpack .../003-gpgconf_2.2.40-1.1_amd64.deb ...
Unpacking gpgconf (2.2.40-1.1) ...
Selecting previously unselected package gpg.
Preparing to unpack .../004-gpg_2.2.40-1.1_amd64.deb ...
Unpacking gpg (2.2.40-1.1) ...
Selecting previously unselected package iso-codes.
Preparing to unpack .../005-iso-codes_4.15.0-1_all.deb ...
Unpacking iso-codes (4.15.0-1) ...
Selecting previously unselected package libaliased-perl.
Preparing to unpack .../006-libaliased-perl_0.34-3_all.deb ...
Unpacking libaliased-perl (0.34-3) ...
Selecting previously unselected package libapt-pkg-perl.
Preparing to unpack .../007-libapt-pkg-perl_0.1.40+b2_amd64.deb ...
Unpacking libapt-pkg-perl (0.1.40+b2) ...
Selecting previously unselected package libb-hooks-op-check-perl:amd64.
Preparing to unpack .../008-libb-hooks-op-check-perl_0.22-2+b1_amd64.deb ...
Unpacking libb-hooks-op-check-perl:amd64 (0.22-2+b1) ...
Selecting previously unselected package libdynaloader-functions-perl.
Preparing to unpack .../009-libdynaloader-functions-perl_0.003-3_all.deb ...
Unpacking libdynaloader-functions-perl (0.003-3) ...
Selecting previously unselected package libdevel-callchecker-perl:amd64.
Preparing to unpack .../010-libdevel-callchecker-perl_0.008-2_amd64.deb ...
Unpacking libdevel-callchecker-perl:amd64 (0.008-2) ...
Selecting previously unselected package libparams-classify-perl:amd64.
Preparing to unpack .../011-libparams-classify-perl_0.015-2+b1_amd64.deb ...
Unpacking libparams-classify-perl:amd64 (0.015-2+b1) ...
Selecting previously unselected package libmodule-runtime-perl.
Preparing to unpack .../012-libmodule-runtime-perl_0.016-2_all.deb ...
Unpacking libmodule-runtime-perl (0.016-2) ...
Selecting previously unselected package libtry-tiny-perl.
Preparing to unpack .../013-libtry-tiny-perl_0.31-2_all.deb ...
Unpacking libtry-tiny-perl (0.31-2) ...
Selecting previously unselected package libmodule-implementation-perl.
Preparing to unpack .../014-libmodule-implementation-perl_0.09-2_all.deb ...
Unpacking libmodule-implementation-perl (0.09-2) ...
Selecting previously unselected package libsub-exporter-progressive-perl.
Preparing to unpack .../015-libsub-exporter-progressive-perl_0.001013-3_all.deb ...
Unpacking libsub-exporter-progressive-perl (0.001013-3) ...
Selecting previously unselected package libvariable-magic-perl.
Preparing to unpack .../016-libvariable-magic-perl_0.63-1+b1_amd64.deb ...
Unpacking libvariable-magic-perl (0.63-1+b1) ...
Selecting previously unselected package libb-hooks-endofscope-perl.
Preparing to unpack .../017-libb-hooks-endofscope-perl_0.26-1_all.deb ...
Unpacking libb-hooks-endofscope-perl (0.26-1) ...
Selecting previously unselected package libberkeleydb-perl:amd64.
Preparing to unpack .../018-libberkeleydb-perl_0.64-2+b1_amd64.deb ...
Unpacking libberkeleydb-perl:amd64 (0.64-2+b1) ...
Selecting previously unselected package libcapture-tiny-perl.
Preparing to unpack .../019-libcapture-tiny-perl_0.48-2_all.deb ...
Unpacking libcapture-tiny-perl (0.48-2) ...
Selecting previously unselected package libhtml-tagset-perl.
Preparing to unpack .../020-libhtml-tagset-perl_3.20-6_all.deb ...
Unpacking libhtml-tagset-perl (3.20-6) ...
Selecting previously unselected package liburi-perl.
Preparing to unpack .../021-liburi-perl_5.21-1_all.deb ...
Unpacking liburi-perl (5.21-1) ...
Selecting previously unselected package libhtml-parser-perl:amd64.
Preparing to unpack .../022-libhtml-parser-perl_3.81-1_amd64.deb ...
Unpacking libhtml-parser-perl:amd64 (3.81-1) ...
Selecting previously unselected package libcgi-pm-perl.
Preparing to unpack .../023-libcgi-pm-perl_4.57-1_all.deb ...
Unpacking libcgi-pm-perl (4.57-1) ...
Selecting previously unselected package libclass-data-inheritable-perl.
Preparing to unpack .../024-libclass-data-inheritable-perl_0.08-3_all.deb ...
Unpacking libclass-data-inheritable-perl (0.08-3) ...
Selecting previously unselected package libclass-method-modifiers-perl.
Preparing to unpack .../025-libclass-method-modifiers-perl_2.15-1_all.deb ...
Unpacking libclass-method-modifiers-perl (2.15-1) ...
Selecting previously unselected package libclass-xsaccessor-perl.
Preparing to unpack .../026-libclass-xsaccessor-perl_1.19-4+b1_amd64.deb ...
Unpacking libclass-xsaccessor-perl (1.19-4+b1) ...
Selecting previously unselected package libclone-perl:amd64.
Preparing to unpack .../027-libclone-perl_0.46-1_amd64.deb ...
Unpacking libclone-perl:amd64 (0.46-1) ...
Selecting previously unselected package libconfig-tiny-perl.
Preparing to unpack .../028-libconfig-tiny-perl_2.29-1_all.deb ...
Unpacking libconfig-tiny-perl (2.29-1) ...
Selecting previously unselected package libparams-util-perl.
Preparing to unpack .../029-libparams-util-perl_1.102-2+b1_amd64.deb ...
Unpacking libparams-util-perl (1.102-2+b1) ...
Selecting previously unselected package libsub-install-perl.
Preparing to unpack .../030-libsub-install-perl_0.929-1_all.deb ...
Unpacking libsub-install-perl (0.929-1) ...
Selecting previously unselected package libdata-optlist-perl.
Preparing to unpack .../031-libdata-optlist-perl_0.114-1_all.deb ...
Unpacking libdata-optlist-perl (0.114-1) ...
Selecting previously unselected package libsub-exporter-perl.
Preparing to unpack .../032-libsub-exporter-perl_0.990-1_all.deb ...
Unpacking libsub-exporter-perl (0.990-1) ...
Selecting previously unselected package libconst-fast-perl.
Preparing to unpack .../033-libconst-fast-perl_0.014-2_all.deb ...
Unpacking libconst-fast-perl (0.014-2) ...
Selecting previously unselected package libcpanel-json-xs-perl:amd64.
Preparing to unpack .../034-libcpanel-json-xs-perl_4.37-1_amd64.deb ...
Unpacking libcpanel-json-xs-perl:amd64 (4.37-1) ...
Selecting previously unselected package libdevel-stacktrace-perl.
Preparing to unpack .../035-libdevel-stacktrace-perl_2.0400-2_all.deb ...
Unpacking libdevel-stacktrace-perl (2.0400-2) ...
Selecting previously unselected package libexception-class-perl.
Preparing to unpack .../036-libexception-class-perl_1.45-1_all.deb ...
Unpacking libexception-class-perl (1.45-1) ...
Selecting previously unselected package libiterator-perl.
Preparing to unpack .../037-libiterator-perl_0.03+ds1-2_all.deb ...
Unpacking libiterator-perl (0.03+ds1-2) ...
Selecting previously unselected package libiterator-util-perl.
Preparing to unpack .../038-libiterator-util-perl_0.02+ds1-2_all.deb ...
Unpacking libiterator-util-perl (0.02+ds1-2) ...
Selecting previously unselected package libdata-dpath-perl.
Preparing to unpack .../039-libdata-dpath-perl_0.58-2_all.deb ...
Unpacking libdata-dpath-perl (0.58-2) ...
Selecting previously unselected package libdata-messagepack-perl.
Preparing to unpack .../040-libdata-messagepack-perl_1.02-1+b1_amd64.deb ...
Unpacking libdata-messagepack-perl (1.02-1+b1) ...
Selecting previously unselected package libnet-domain-tld-perl.
Preparing to unpack .../041-libnet-domain-tld-perl_1.75-3_all.deb ...
Unpacking libnet-domain-tld-perl (1.75-3) ...
Selecting previously unselected package libdata-validate-domain-perl.
Preparing to unpack .../042-libdata-validate-domain-perl_0.10-1.1_all.deb ...
Unpacking libdata-validate-domain-perl (0.10-1.1) ...
Selecting previously unselected package libnet-ipv6addr-perl.
Preparing to unpack .../043-libnet-ipv6addr-perl_1.02-1_all.deb ...
Unpacking libnet-ipv6addr-perl (1.02-1) ...
Selecting previously unselected package libnet-netmask-perl.
Preparing to unpack .../044-libnet-netmask-perl_2.0002-2_all.deb ...
Unpacking libnet-netmask-perl (2.0002-2) ...
Selecting previously unselected package libnetaddr-ip-perl.
Preparing to unpack .../045-libnetaddr-ip-perl_4.079+dfsg-2+b1_amd64.deb ...
Unpacking libnetaddr-ip-perl (4.079+dfsg-2+b1) ...
Selecting previously unselected package libdata-validate-ip-perl.
Preparing to unpack .../046-libdata-validate-ip-perl_0.31-1_all.deb ...
Unpacking libdata-validate-ip-perl (0.31-1) ...
Selecting previously unselected package libdata-validate-uri-perl.
Preparing to unpack .../047-libdata-validate-uri-perl_0.07-2_all.deb ...
Unpacking libdata-validate-uri-perl (0.07-2) ...
Selecting previously unselected package libdevel-size-perl.
Preparing to unpack .../048-libdevel-size-perl_0.83-2+b1_amd64.deb ...
Unpacking libdevel-size-perl (0.83-2+b1) ...
Selecting previously unselected package libemail-address-xs-perl.
Preparing to unpack .../049-libemail-address-xs-perl_1.05-1+b1_amd64.deb ...
Unpacking libemail-address-xs-perl (1.05-1+b1) ...
Selecting previously unselected package libencode-locale-perl.
Preparing to unpack .../050-libencode-locale-perl_1.05-3_all.deb ...
Unpacking libencode-locale-perl (1.05-3) ...
Selecting previously unselected package libipc-system-simple-perl.
Preparing to unpack .../051-libipc-system-simple-perl_1.30-2_all.deb ...
Unpacking libipc-system-simple-perl (1.30-2) ...
Selecting previously unselected package libfile-basedir-perl.
Preparing to unpack .../052-libfile-basedir-perl_0.09-2_all.deb ...
Unpacking libfile-basedir-perl (0.09-2) ...
Selecting previously unselected package libnumber-compare-perl.
Preparing to unpack .../053-libnumber-compare-perl_0.03-3_all.deb ...
Unpacking libnumber-compare-perl (0.03-3) ...
Selecting previously unselected package libtext-glob-perl.
Preparing to unpack .../054-libtext-glob-perl_0.11-3_all.deb ...
Unpacking libtext-glob-perl (0.11-3) ...
Selecting previously unselected package libfile-find-rule-perl.
Preparing to unpack .../055-libfile-find-rule-perl_0.34-3_all.deb ...
Unpacking libfile-find-rule-perl (0.34-3) ...
Selecting previously unselected package libtimedate-perl.
Preparing to unpack .../056-libtimedate-perl_2.3300-2_all.deb ...
Unpacking libtimedate-perl (2.3300-2) ...
Selecting previously unselected package libhttp-date-perl.
Preparing to unpack .../057-libhttp-date-perl_6.05-2_all.deb ...
Unpacking libhttp-date-perl (6.05-2) ...
Selecting previously unselected package libfile-listing-perl.
Preparing to unpack .../058-libfile-listing-perl_6.15-1_all.deb ...
Unpacking libfile-listing-perl (6.15-1) ...
Selecting previously unselected package libio-string-perl.
Preparing to unpack .../059-libio-string-perl_1.08-4_all.deb ...
Unpacking libio-string-perl (1.08-4) ...
Selecting previously unselected package libfont-ttf-perl.
Preparing to unpack .../060-libfont-ttf-perl_1.06-2_all.deb ...
Unpacking libfont-ttf-perl (1.06-2) ...
Selecting previously unselected package libio-html-perl.
Preparing to unpack .../061-libio-html-perl_1.004-3_all.deb ...
Unpacking libio-html-perl (1.004-3) ...
Selecting previously unselected package liblwp-mediatypes-perl.
Preparing to unpack .../062-liblwp-mediatypes-perl_6.04-2_all.deb ...
Unpacking liblwp-mediatypes-perl (6.04-2) ...
Selecting previously unselected package libhttp-message-perl.
Preparing to unpack .../063-libhttp-message-perl_6.44-2_all.deb ...
Unpacking libhttp-message-perl (6.44-2) ...
Selecting previously unselected package libhtml-form-perl.
Preparing to unpack .../064-libhtml-form-perl_6.11-1_all.deb ...
Unpacking libhtml-form-perl (6.11-1) ...
Selecting previously unselected package libhtml-html5-entities-perl.
Preparing to unpack .../065-libhtml-html5-entities-perl_0.004-3_all.deb ...
Unpacking libhtml-html5-entities-perl (0.004-3) ...
Selecting previously unselected package libhtml-tree-perl.
Preparing to unpack .../066-libhtml-tree-perl_5.07-3_all.deb ...
Unpacking libhtml-tree-perl (5.07-3) ...
Selecting previously unselected package libhttp-cookies-perl.
Preparing to unpack .../067-libhttp-cookies-perl_6.10-1_all.deb ...
Unpacking libhttp-cookies-perl (6.10-1) ...
Selecting previously unselected package libhttp-negotiate-perl.
Preparing to unpack .../068-libhttp-negotiate-perl_6.01-2_all.deb ...
Unpacking libhttp-negotiate-perl (6.01-2) ...
Selecting previously unselected package perl-openssl-defaults:amd64.
Preparing to unpack .../069-perl-openssl-defaults_7+b1_amd64.deb ...
Unpacking perl-openssl-defaults:amd64 (7+b1) ...
Selecting previously unselected package libnet-ssleay-perl:amd64.
Preparing to unpack .../070-libnet-ssleay-perl_1.92-2+b1_amd64.deb ...
Unpacking libnet-ssleay-perl:amd64 (1.92-2+b1) ...
Selecting previously unselected package libio-socket-ssl-perl.
Preparing to unpack .../071-libio-socket-ssl-perl_2.083-1_all.deb ...
Unpacking libio-socket-ssl-perl (2.083-1) ...
Selecting previously unselected package libnet-http-perl.
Preparing to unpack .../072-libnet-http-perl_6.23-1_all.deb ...
Unpacking libnet-http-perl (6.23-1) ...
Selecting previously unselected package liblwp-protocol-https-perl.
Preparing to unpack .../073-liblwp-protocol-https-perl_6.11-1_all.deb ...
Unpacking liblwp-protocol-https-perl (6.11-1) ...
Selecting previously unselected package libwww-robotrules-perl.
Preparing to unpack .../074-libwww-robotrules-perl_6.02-1_all.deb ...
Unpacking libwww-robotrules-perl (6.02-1) ...
Selecting previously unselected package libwww-perl.
Preparing to unpack .../075-libwww-perl_6.72-1_all.deb ...
Unpacking libwww-perl (6.72-1) ...
Selecting previously unselected package libhtml-tokeparser-simple-perl.
Preparing to unpack .../076-libhtml-tokeparser-simple-perl_3.16-4_all.deb ...
Unpacking libhtml-tokeparser-simple-perl (3.16-4) ...
Selecting previously unselected package libimport-into-perl.
Preparing to unpack .../077-libimport-into-perl_1.002005-2_all.deb ...
Unpacking libimport-into-perl (1.002005-2) ...
Selecting previously unselected package libio-interactive-perl.
Preparing to unpack .../078-libio-interactive-perl_1.023-2_all.deb ...
Unpacking libio-interactive-perl (1.023-2) ...
Selecting previously unselected package libipc-run3-perl.
Preparing to unpack .../079-libipc-run3-perl_0.048-3_all.deb ...
Unpacking libipc-run3-perl (0.048-3) ...
Selecting previously unselected package libjson-maybexs-perl.
Preparing to unpack .../080-libjson-maybexs-perl_1.004005-1_all.deb ...
Unpacking libjson-maybexs-perl (1.004005-1) ...
Selecting previously unselected package liblist-compare-perl.
Preparing to unpack .../081-liblist-compare-perl_0.55-2_all.deb ...
Unpacking liblist-compare-perl (0.55-2) ...
Selecting previously unselected package liblist-someutils-perl.
Preparing to unpack .../082-liblist-someutils-perl_0.59-1_all.deb ...
Unpacking liblist-someutils-perl (0.59-1) ...
Selecting previously unselected package liblist-utilsby-perl.
Preparing to unpack .../083-liblist-utilsby-perl_0.12-2_all.deb ...
Unpacking liblist-utilsby-perl (0.12-2) ...
Selecting previously unselected package liblz1:amd64.
Preparing to unpack .../084-liblz1_1.13-6_amd64.deb ...
Unpacking liblz1:amd64 (1.13-6) ...
Selecting previously unselected package liblzo2-2:amd64.
Preparing to unpack .../085-liblzo2-2_2.10-2_amd64.deb ...
Unpacking liblzo2-2:amd64 (2.10-2) ...
Selecting previously unselected package libmarkdown2:amd64.
Preparing to unpack .../086-libmarkdown2_2.2.7-2_amd64.deb ...
Unpacking libmarkdown2:amd64 (2.2.7-2) ...
Selecting previously unselected package libmldbm-perl.
Preparing to unpack .../087-libmldbm-perl_2.05-4_all.deb ...
Unpacking libmldbm-perl (2.05-4) ...
Selecting previously unselected package librole-tiny-perl.
Preparing to unpack .../088-librole-tiny-perl_2.002004-1_all.deb ...
Unpacking librole-tiny-perl (2.002004-1) ...
Selecting previously unselected package libsub-quote-perl.
Preparing to unpack .../089-libsub-quote-perl_2.006008-1_all.deb ...
Unpacking libsub-quote-perl (2.006008-1) ...
Selecting previously unselected package libmoo-perl.
Preparing to unpack .../090-libmoo-perl_2.005005-1_all.deb ...
Unpacking libmoo-perl (2.005005-1) ...
Selecting previously unselected package libstrictures-perl.
Preparing to unpack .../091-libstrictures-perl_2.000006-1_all.deb ...
Unpacking libstrictures-perl (2.000006-1) ...
Selecting previously unselected package libmoox-aliases-perl.
Preparing to unpack .../092-libmoox-aliases-perl_0.001006-2_all.deb ...
Unpacking libmoox-aliases-perl (0.001006-2) ...
Selecting previously unselected package libmouse-perl.
Preparing to unpack .../093-libmouse-perl_2.5.10-1+b3_amd64.deb ...
Unpacking libmouse-perl (2.5.10-1+b3) ...
Selecting previously unselected package libpackage-stash-perl.
Preparing to unpack .../094-libpackage-stash-perl_0.40-1_all.deb ...
Unpacking libpackage-stash-perl (0.40-1) ...
Selecting previously unselected package libsub-identify-perl.
Preparing to unpack .../095-libsub-identify-perl_0.14-3_amd64.deb ...
Unpacking libsub-identify-perl (0.14-3) ...
Selecting previously unselected package libsub-name-perl:amd64.
Preparing to unpack .../096-libsub-name-perl_0.27-1_amd64.deb ...
Unpacking libsub-name-perl:amd64 (0.27-1) ...
Selecting previously unselected package libnamespace-clean-perl.
Preparing to unpack .../097-libnamespace-clean-perl_0.27-2_all.deb ...
Unpacking libnamespace-clean-perl (0.27-2) ...
Selecting previously unselected package libpath-tiny-perl.
Preparing to unpack .../098-libpath-tiny-perl_0.144-1_all.deb ...
Unpacking libpath-tiny-perl (0.144-1) ...
Selecting previously unselected package libperlio-gzip-perl.
Preparing to unpack .../099-libperlio-gzip-perl_0.20-1+b1_amd64.deb ...
Unpacking libperlio-gzip-perl (0.20-1+b1) ...
Selecting previously unselected package libperlio-utf8-strict-perl.
Preparing to unpack .../100-libperlio-utf8-strict-perl_0.010-1_amd64.deb ...
Unpacking libperlio-utf8-strict-perl (0.010-1) ...
Selecting previously unselected package libproc-processtable-perl:amd64.
Preparing to unpack .../101-libproc-processtable-perl_0.636-1_amd64.deb ...
Unpacking libproc-processtable-perl:amd64 (0.636-1) ...
Selecting previously unselected package libregexp-wildcards-perl.
Preparing to unpack .../102-libregexp-wildcards-perl_1.05-3_all.deb ...
Unpacking libregexp-wildcards-perl (1.05-3) ...
Selecting previously unselected package libsereal-decoder-perl.
Preparing to unpack .../103-libsereal-decoder-perl_5.004+ds-1_amd64.deb ...
Unpacking libsereal-decoder-perl (5.004+ds-1) ...
Selecting previously unselected package libsereal-encoder-perl.
Preparing to unpack .../104-libsereal-encoder-perl_5.004+ds-1_amd64.deb ...
Unpacking libsereal-encoder-perl (5.004+ds-1) ...
Selecting previously unselected package libsort-versions-perl.
Preparing to unpack .../105-libsort-versions-perl_1.62-3_all.deb ...
Unpacking libsort-versions-perl (1.62-3) ...
Selecting previously unselected package libxs-parse-keyword-perl.
Preparing to unpack .../106-libxs-parse-keyword-perl_0.38-1_amd64.deb ...
Unpacking libxs-parse-keyword-perl (0.38-1) ...
Selecting previously unselected package libsyntax-keyword-try-perl.
Preparing to unpack .../107-libsyntax-keyword-try-perl_0.29-1_amd64.deb ...
Unpacking libsyntax-keyword-try-perl (0.29-1) ...
Selecting previously unselected package libterm-readkey-perl.
Preparing to unpack .../108-libterm-readkey-perl_2.38-2+b1_amd64.deb ...
Unpacking libterm-readkey-perl (2.38-2+b1) ...
Selecting previously unselected package libtext-levenshteinxs-perl.
Preparing to unpack .../109-libtext-levenshteinxs-perl_0.03-5+b1_amd64.deb ...
Unpacking libtext-levenshteinxs-perl (0.03-5+b1) ...
Selecting previously unselected package libtext-markdown-discount-perl.
Preparing to unpack .../110-libtext-markdown-discount-perl_0.16-1_amd64.deb ...
Unpacking libtext-markdown-discount-perl (0.16-1) ...
Selecting previously unselected package libtext-xslate-perl:amd64.
Preparing to unpack .../111-libtext-xslate-perl_3.5.9-1+b2_amd64.deb ...
Unpacking libtext-xslate-perl:amd64 (3.5.9-1+b2) ...
Selecting previously unselected package libtime-duration-perl.
Preparing to unpack .../112-libtime-duration-perl_1.21-2_all.deb ...
Unpacking libtime-duration-perl (1.21-2) ...
Selecting previously unselected package libtime-moment-perl.
Preparing to unpack .../113-libtime-moment-perl_0.44-2+b1_amd64.deb ...
Unpacking libtime-moment-perl (0.44-2+b1) ...
Selecting previously unselected package libunicode-utf8-perl.
Preparing to unpack .../114-libunicode-utf8-perl_0.62-2_amd64.deb ...
Unpacking libunicode-utf8-perl (0.62-2) ...
Selecting previously unselected package libwww-mechanize-perl.
Preparing to unpack .../115-libwww-mechanize-perl_2.17-1_all.deb ...
Unpacking libwww-mechanize-perl (2.17-1) ...
Selecting previously unselected package libxml-namespacesupport-perl.
Preparing to unpack .../116-libxml-namespacesupport-perl_1.12-2_all.deb ...
Unpacking libxml-namespacesupport-perl (1.12-2) ...
Selecting previously unselected package libxml-sax-base-perl.
Preparing to unpack .../117-libxml-sax-base-perl_1.09-3_all.deb ...
Unpacking libxml-sax-base-perl (1.09-3) ...
Selecting previously unselected package libxml-sax-perl.
Preparing to unpack .../118-libxml-sax-perl_1.02+dfsg-3_all.deb ...
Unpacking libxml-sax-perl (1.02+dfsg-3) ...
Selecting previously unselected package libxml-libxml-perl.
Preparing to unpack .../119-libxml-libxml-perl_2.0207+dfsg+really+2.0134-1+b1_amd64.deb ...
Unpacking libxml-libxml-perl (2.0207+dfsg+really+2.0134-1+b1) ...
Selecting previously unselected package libyaml-libyaml-perl.
Preparing to unpack .../120-libyaml-libyaml-perl_0.86+ds-1_amd64.deb ...
Unpacking libyaml-libyaml-perl (0.86+ds-1) ...
Selecting previously unselected package plzip.
Preparing to unpack .../121-plzip_1.10-6_amd64.deb ...
Unpacking plzip (1.10-6) ...
Selecting previously unselected package lzop.
Preparing to unpack .../122-lzop_1.04-2_amd64.deb ...
Unpacking lzop (1.04-2) ...
Selecting previously unselected package patchutils.
Preparing to unpack .../123-patchutils_0.4.2-1_amd64.deb ...
Unpacking patchutils (0.4.2-1) ...
Selecting previously unselected package unzip.
Preparing to unpack .../124-unzip_6.0-28_amd64.deb ...
Unpacking unzip (6.0-28) ...
Selecting previously unselected package lintian.
Preparing to unpack .../125-lintian_2.116.3_all.deb ...
Unpacking lintian (2.116.3) ...
Selecting previously unselected package sbuild-build-depends-lintian-dummy.
Preparing to unpack .../126-sbuild-build-depends-lintian-dummy_0.invalid.0_amd64.deb ...
Unpacking sbuild-build-depends-lintian-dummy (0.invalid.0) ...
Setting up libapt-pkg-perl (0.1.40+b2) ...
Setting up liblz1:amd64 (1.13-6) ...
Setting up libberkeleydb-perl:amd64 (0.64-2+b1) ...
Setting up plzip (1.10-6) ...
update-alternatives: using /usr/bin/lzip.plzip to provide /usr/bin/lzip (lzip) in auto mode
update-alternatives: using /usr/bin/lzip.plzip to provide /usr/bin/lzip-compressor (lzip-compressor) in auto mode
update-alternatives: using /usr/bin/lzip.plzip to provide /usr/bin/lzip-decompressor (lzip-decompressor) in auto mode
Setting up libunicode-utf8-perl (0.62-2) ...
Setting up libmouse-perl (2.5.10-1+b3) ...
Setting up libdata-messagepack-perl (1.02-1+b1) ...
Setting up libdynaloader-functions-perl (0.003-3) ...
Setting up libtext-glob-perl (0.11-3) ...
Setting up libclass-method-modifiers-perl (2.15-1) ...
Setting up liblist-compare-perl (0.55-2) ...
Setting up libclone-perl:amd64 (0.46-1) ...
Setting up libsub-identify-perl (0.14-3) ...
Setting up libcpanel-json-xs-perl:amd64 (4.37-1) ...
Setting up libhtml-tagset-perl (3.20-6) ...
Setting up libdevel-size-perl (0.83-2+b1) ...
Setting up unzip (6.0-28) ...
Setting up liblwp-mediatypes-perl (6.04-2) ...
Setting up libyaml-libyaml-perl (0.86+ds-1) ...
Setting up libio-interactive-perl (1.023-2) ...
Setting up libtry-tiny-perl (0.31-2) ...
Setting up perl-openssl-defaults:amd64 (7+b1) ...
Setting up libmldbm-perl (2.05-4) ...
Setting up libxml-namespacesupport-perl (1.12-2) ...
Setting up liblzo2-2:amd64 (2.10-2) ...
Setting up libtime-moment-perl (0.44-2+b1) ...
Setting up libencode-locale-perl (1.05-3) ...
Setting up libassuan0:amd64 (2.5.6-1) ...
Setting up libconfig-tiny-perl (2.29-1) ...
Setting up libsereal-encoder-perl (5.004+ds-1) ...
Setting up liblist-utilsby-perl (0.12-2) ...
Setting up libnet-netmask-perl (2.0002-2) ...
Setting up libsub-install-perl (0.929-1) ...
Setting up libnumber-compare-perl (0.03-3) ...
Setting up patchutils (0.4.2-1) ...
Setting up libjson-maybexs-perl (1.004005-1) ...
Setting up libxml-sax-base-perl (1.09-3) ...
Setting up libio-string-perl (1.08-4) ...
Setting up libnetaddr-ip-perl (4.079+dfsg-2+b1) ...
Setting up libclass-data-inheritable-perl (0.08-3) ...
Setting up libxs-parse-keyword-perl (0.38-1) ...
Setting up libfile-find-rule-perl (0.34-3) ...
Setting up libipc-system-simple-perl (1.30-2) ...
Setting up libnet-domain-tld-perl (1.75-3) ...
Setting up libperlio-utf8-strict-perl (0.010-1) ...
Setting up diffstat (1.65-1) ...
Setting up libvariable-magic-perl (0.63-1+b1) ...
Setting up libio-html-perl (1.004-3) ...
Setting up libb-hooks-op-check-perl:amd64 (0.22-2+b1) ...
Setting up libparams-util-perl (1.102-2+b1) ...
Setting up libtime-duration-perl (1.21-2) ...
Setting up libtext-xslate-perl:amd64 (3.5.9-1+b2) ...
Setting up libsub-exporter-progressive-perl (0.001013-3) ...
Setting up libcapture-tiny-perl (0.48-2) ...
Setting up libtimedate-perl (2.3300-2) ...
Setting up libsub-name-perl:amd64 (0.27-1) ...
Setting up libsyntax-keyword-try-perl (0.29-1) ...
Setting up libdata-validate-domain-perl (0.10-1.1) ...
Setting up libproc-processtable-perl:amd64 (0.636-1) ...
Setting up libpath-tiny-perl (0.144-1) ...
Setting up lzop (1.04-2) ...
Setting up gpgconf (2.2.40-1.1) ...
Setting up librole-tiny-perl (2.002004-1) ...
Setting up libipc-run3-perl (0.048-3) ...
Setting up libregexp-wildcards-perl (1.05-3) ...
Setting up libaliased-perl (0.34-3) ...
Setting up netbase (6.4) ...
Setting up libstrictures-perl (2.000006-1) ...
Setting up libsub-quote-perl (2.006008-1) ...
Setting up libdevel-stacktrace-perl (2.0400-2) ...
Setting up libclass-xsaccessor-perl (1.19-4+b1) ...
Setting up libsort-versions-perl (1.62-3) ...
Setting up libterm-readkey-perl (2.38-2+b1) ...
Setting up libfont-ttf-perl (1.06-2) ...
Setting up libtext-levenshteinxs-perl (0.03-5+b1) ...
Setting up libperlio-gzip-perl (0.20-1+b1) ...
Setting up libhtml-html5-entities-perl (0.004-3) ...
Setting up libsereal-decoder-perl (5.004+ds-1) ...
Setting up libmarkdown2:amd64 (2.2.7-2) ...
Setting up liburi-perl (5.21-1) ...
Setting up iso-codes (4.15.0-1) ...
Setting up libnet-ipv6addr-perl (1.02-1) ...
Setting up gpg (2.2.40-1.1) ...
Setting up libdata-validate-ip-perl (0.31-1) ...
Setting up libemail-address-xs-perl (1.05-1+b1) ...
Setting up libnet-ssleay-perl:amd64 (1.92-2+b1) ...
Setting up libhttp-date-perl (6.05-2) ...
Setting up libfile-basedir-perl (0.09-2) ...
Setting up libfile-listing-perl (6.15-1) ...
Setting up libnet-http-perl (6.23-1) ...
Setting up libtext-markdown-discount-perl (0.16-1) ...
Setting up libexception-class-perl (1.45-1) ...
Setting up libdevel-callchecker-perl:amd64 (0.008-2) ...
Setting up libxml-sax-perl (1.02+dfsg-3) ...
update-perl-sax-parsers: Registering Perl SAX parser XML::SAX::PurePerl with priority 10...
update-perl-sax-parsers: Updating overall Perl SAX parser modules info file...

Creating config file /etc/perl/XML/SAX/ParserDetails.ini with new version
Setting up libdata-validate-uri-perl (0.07-2) ...
Setting up libdata-optlist-perl (0.114-1) ...
Setting up libxml-libxml-perl (2.0207+dfsg+really+2.0134-1+b1) ...
update-perl-sax-parsers: Registering Perl SAX parser XML::LibXML::SAX::Parser with priority 50...
update-perl-sax-parsers: Registering Perl SAX parser XML::LibXML::SAX with priority 50...
update-perl-sax-parsers: Updating overall Perl SAX parser modules info file...
Replacing config file /etc/perl/XML/SAX/ParserDetails.ini with new version
Setting up libwww-robotrules-perl (6.02-1) ...
Setting up libhtml-parser-perl:amd64 (3.81-1) ...
Setting up libio-socket-ssl-perl (2.083-1) ...
Setting up libsub-exporter-perl (0.990-1) ...
Setting up libhttp-message-perl (6.44-2) ...
Setting up libhtml-form-perl (6.11-1) ...
Setting up libiterator-perl (0.03+ds1-2) ...
Setting up libhttp-negotiate-perl (6.01-2) ...
Setting up libiterator-util-perl (0.02+ds1-2) ...
Setting up libhttp-cookies-perl (6.10-1) ...
Setting up libhtml-tree-perl (5.07-3) ...
Setting up libparams-classify-perl:amd64 (0.015-2+b1) ...
Setting up libcgi-pm-perl (4.57-1) ...
Setting up libmodule-runtime-perl (0.016-2) ...
Setting up libconst-fast-perl (0.014-2) ...
Setting up libdata-dpath-perl (0.58-2) ...
Setting up libmodule-implementation-perl (0.09-2) ...
Setting up libpackage-stash-perl (0.40-1) ...
Setting up libimport-into-perl (1.002005-2) ...
Setting up libmoo-perl (2.005005-1) ...
Setting up liblist-someutils-perl (0.59-1) ...
Setting up libmoox-aliases-perl (0.001006-2) ...
Setting up libb-hooks-endofscope-perl (0.26-1) ...
Setting up libnamespace-clean-perl (0.27-2) ...
Setting up libwww-perl (6.72-1) ...
Setting up libhtml-tokeparser-simple-perl (3.16-4) ...
Setting up libwww-mechanize-perl (2.17-1) ...
Setting up liblwp-protocol-https-perl (6.11-1) ...
Processing triggers for libc-bin (2.37-10) ...
Processing triggers for man-db (2.12.0-1) ...
Not building database; man-db/auto-update is not 'true'.
Processing triggers for sgml-base (1.31) ...
Setting up lintian (2.116.3) ...
Setting up sbuild-build-depends-lintian-dummy (0.invalid.0) ...
Running lintian...

I: Lintian run was successful.

+------------------------------------------------------------------------------+
| Post Build                                                                   |
+------------------------------------------------------------------------------+


+------------------------------------------------------------------------------+
| Cleanup                                                                      |
+------------------------------------------------------------------------------+

Purging /<<BUILDDIR>>
Not cleaning session: cloned chroot in use

+------------------------------------------------------------------------------+
| Summary                                                                      |
+------------------------------------------------------------------------------+

Build Architecture: amd64
Build Type: full
Build-Space: 3439144
Build-Time: 911
Distribution: unstable
Host Architecture: amd64
Install-Time: 523
Job: /home/dxld/share/dev/deb/pkg/yosys_0.33-5.dsc
Lintian: pass
Machine Architecture: amd64
Package: yosys
Package-Time: 1484
Source-Version: 0.33-5
Space: 3439144
Status: successful
Version: 0.33-5
--------------------------------------------------------------------------------
Finished at 2023-09-26T14:57:58Z
Build needed 00:24:44, 3439144k disk space
-------------- next part --------------
Format: 1.0
Source: yosys
Binary: yosys yosys-abc yosys-abc-dbgsym yosys-dbgsym yosys-dev yosys-doc
Architecture: all amd64 source
Version: 0.33-5
Checksums-Md5:
 8f29142e8342fb0f34e861351e564ba2 2083 yosys_0.33-5.dsc
 ae8269554999ba26b37d2d952510eb79 23327292 yosys-abc-dbgsym_0.33-5_amd64.deb
 fa2799a548d2e1ab5de3163d7139a86d 5447528 yosys-abc_0.33-5_amd64.deb
 80d87d1ce50119a36d97c72a765cafbd 51952776 yosys-dbgsym_0.33-5_amd64.deb
 c1fe009b60f4bc8a180b414f70b3ebd0 102008 yosys-dev_0.33-5_amd64.deb
 67d41d1e6626e44ad1fcaecfa96286cc 2048184 yosys-doc_0.33-5_all.deb
 dd39e69744bb8a71b2342726d7629581 3024444 yosys_0.33-5_amd64.deb
Checksums-Sha1:
 b37cf5f9af3c9f5090178ad7d680b6c3aa54be7e 2083 yosys_0.33-5.dsc
 eabe5fdcf812d96a746efc3c90f7c2a0192e4076 23327292 yosys-abc-dbgsym_0.33-5_amd64.deb
 75b28e0011fa6aecfcaeb4670171b456b419534b 5447528 yosys-abc_0.33-5_amd64.deb
 6812acddcb279a91ca27d73c60745d53f73d7894 51952776 yosys-dbgsym_0.33-5_amd64.deb
 5d1253fe429087cdc193f670a006e2eb5dfe331d 102008 yosys-dev_0.33-5_amd64.deb
 e17f05aa438f96f1b4b3a06a034ca4c0aa5d4903 2048184 yosys-doc_0.33-5_all.deb
 186874e9853ef73d47f2aece71a2fc9fa23df1b5 3024444 yosys_0.33-5_amd64.deb
Checksums-Sha256:
 026201d262c86a06d04eb8a3038d45f0ef0e7b635f5e8a149a767002492672f6 2083 yosys_0.33-5.dsc
 2266df43e98650aa1b65cd4f9a076795d230ce7dd68d94d77ee47d4364a3adbb 23327292 yosys-abc-dbgsym_0.33-5_amd64.deb
 dcb14c86179dfdc7fa228149957929257a037c065300a0c369cfdc71638884b7 5447528 yosys-abc_0.33-5_amd64.deb
 3c85bdb10ae31d26974f29bb9c016e4272fb4877a4e5c28363534dc10851c2c4 51952776 yosys-dbgsym_0.33-5_amd64.deb
 0d7081b936a5a5dee4965c74f7851301007cb865f982cf6bb5cf877629c608e2 102008 yosys-dev_0.33-5_amd64.deb
 6994c88f2680cb5e3f214147dc536f184ed937c50d0272b2f777b2c85e1dc122 2048184 yosys-doc_0.33-5_all.deb
 8937fe8d15f24431e11ed25741565097b52bf178c2bdb0b4e2169b55d1d4e4e0 3024444 yosys_0.33-5_amd64.deb
Build-Origin: Debian
Build-Architecture: amd64
Build-Date: Tue, 26 Sep 2023 14:57:57 +0000
Build-Path: /build/yosys-ogNBME/yosys-0.33
Installed-Build-Depends:
 autoconf (= 2.71-3),
 automake (= 1:1.16.5-1.3),
 autopoint (= 0.21-13),
 autotools-dev (= 20220109.1),
 base-files (= 13),
 base-passwd (= 3.6.1),
 bash (= 5.2.15-2+b5),
 binutils (= 2.41-5),
 binutils-common (= 2.41-5),
 binutils-x86-64-linux-gnu (= 2.41-5),
 bison (= 2:3.8.2+dfsg-1+b1),
 bsdextrautils (= 2.39.2-1),
 bsdutils (= 1:2.39.2-1),
 build-essential (= 12.10),
 bzip2 (= 1.0.8-5+b1),
 ca-certificates (= 20230311),
 coreutils (= 9.1-1),
 cpp (= 4:13.2.0-1),
 cpp-12 (= 12.3.0-9),
 cpp-13 (= 13.2.0-4),
 dash (= 0.5.12-6),
 debconf (= 1.5.82),
 debhelper (= 13.11.6),
 debianutils (= 5.13),
 dh-autoreconf (= 20),
 dh-python (= 6.20230825),
 dh-strip-nondeterminism (= 1.13.1-1),
 diffutils (= 1:3.8-4),
 docutils-common (= 0.19+dfsg-7),
 dpkg (= 1.22.0),
 dpkg-dev (= 1.22.0),
 dwz (= 0.15-1),
 file (= 1:5.45-2),
 findutils (= 4.9.0-5),
 flex (= 2.6.4-8.2),
 fontconfig (= 2.14.2-6),
 fontconfig-config (= 2.14.2-6),
 fonts-dejavu-core (= 2.37-8),
 fonts-dejavu-mono (= 2.37-8),
 fonts-gfs-baskerville (= 1.1-6),
 fonts-gfs-porson (= 1.1-7),
 fonts-lmodern (= 2.005-1),
 g++ (= 4:13.2.0-1),
 g++-13 (= 13.2.0-4),
 gawk (= 1:5.2.1-2),
 gcc (= 4:13.2.0-1),
 gcc-12 (= 12.3.0-9),
 gcc-12-base (= 12.3.0-9),
 gcc-13 (= 13.2.0-4),
 gcc-13-base (= 13.2.0-4),
 gettext (= 0.21-13+b1),
 gettext-base (= 0.21-13+b1),
 git (= 1:2.40.1-1),
 git-man (= 1:2.40.1-1),
 graphviz (= 2.42.2-7+b3),
 grep (= 3.11-3),
 groff-base (= 1.23.0-2),
 gzip (= 1.12-1),
 hostname (= 3.23+nmu1),
 init-system-helpers (= 1.65.2),
 intltool-debian (= 0.35.0+20060710.6),
 iverilog (= 12.0-2),
 latexmk (= 1:4.80-1),
 libabsl20220623 (= 20220623.1-3),
 libacl1 (= 2.3.1-3),
 libann0 (= 1.1.2+doc-9+b1),
 libaom3 (= 3.7.0~really3.6.1-1),
 libapache-pom-java (= 29-2),
 libarchive-zip-perl (= 1.68-1),
 libasan8 (= 13.2.0-4),
 libatomic1 (= 13.2.0-4),
 libattr1 (= 1:2.5.1-4),
 libaudit-common (= 1:3.1.1-1),
 libaudit1 (= 1:3.1.1-1),
 libavif15 (= 0.11.1-3+b1),
 libbinutils (= 2.41-5),
 libblkid1 (= 2.39.2-1),
 libbrotli1 (= 1.0.9-2+b6),
 libbsd0 (= 0.11.7-4),
 libbz2-1.0 (= 1.0.8-5+b1),
 libbz2-dev (= 1.0.8-5+b1),
 libc-bin (= 2.37-10),
 libc-dev-bin (= 2.37-10),
 libc6 (= 2.37-10),
 libc6-dev (= 2.37-10),
 libcairo2 (= 1.18.0-1),
 libcap-ng0 (= 0.8.3-1+b3),
 libcap2 (= 1:2.66-4),
 libcc1-0 (= 13.2.0-4),
 libcdt5 (= 2.42.2-7+b3),
 libcgraph6 (= 2.42.2-7+b3),
 libcom-err2 (= 1.47.0-2+b1),
 libcommons-logging-java (= 1.2-3),
 libcommons-parent-java (= 56-1),
 libcrypt-dev (= 1:4.4.36-2),
 libcrypt1 (= 1:4.4.36-2),
 libctf-nobfd0 (= 2.41-5),
 libctf0 (= 2.41-5),
 libcurl3-gnutls (= 8.3.0-1),
 libdatrie1 (= 0.2.13-2+b1),
 libdav1d6 (= 1.2.1-2),
 libdb5.3 (= 5.3.28+dfsg2-2),
 libde265-0 (= 1.0.12-2),
 libdebconfclient0 (= 0.271),
 libdebhelper-perl (= 13.11.6),
 libdeflate0 (= 1.18-1),
 libdpkg-perl (= 1.22.0),
 libelf1 (= 0.189-4),
 liberror-perl (= 0.17029-2),
 libexpat1 (= 2.5.0-2),
 libffi-dev (= 3.4.4-1),
 libffi8 (= 3.4.4-1),
 libfile-stripnondeterminism-perl (= 1.13.1-1),
 libfontbox-java (= 1:1.8.16-4),
 libfontconfig1 (= 2.14.2-6),
 libfontenc1 (= 1:1.1.4-1),
 libfreetype6 (= 2.13.2+dfsg-1),
 libfribidi0 (= 1.0.13-3),
 libgav1-1 (= 0.18.0-1+b1),
 libgcc-12-dev (= 12.3.0-9),
 libgcc-13-dev (= 13.2.0-4),
 libgcc-s1 (= 13.2.0-4),
 libgcrypt20 (= 1.10.2-3),
 libgd3 (= 2.3.3-9),
 libgdbm-compat4 (= 1.23-3),
 libgdbm6 (= 1.23-3),
 libglib2.0-0 (= 2.78.0-2),
 libgmp10 (= 2:6.3.0+dfsg-2),
 libgnutls30 (= 3.8.1-4+b1),
 libgomp1 (= 13.2.0-4),
 libgpg-error0 (= 1.47-2),
 libgprofng0 (= 2.41-5),
 libgraphite2-3 (= 1.3.14-1),
 libgssapi-krb5-2 (= 1.20.1-4),
 libgts-0.7-5 (= 0.7.6+darcs121130-5+b1),
 libgvc6 (= 2.42.2-7+b3),
 libgvpr2 (= 2.42.2-7+b3),
 libharfbuzz0b (= 8.0.1-1),
 libheif-plugin-dav1d (= 1.16.2-2+b1),
 libheif-plugin-libde265 (= 1.16.2-2+b1),
 libheif1 (= 1.16.2-2+b1),
 libhogweed6 (= 3.9.1-2),
 libhwasan0 (= 13.2.0-4),
 libice6 (= 2:1.0.10-1),
 libicu72 (= 72.1-3),
 libidn2-0 (= 2.3.4-1+b1),
 libisl23 (= 0.26-3),
 libitm1 (= 13.2.0-4),
 libjansson4 (= 2.14-2),
 libjbig0 (= 2.1-6.1),
 libjpeg62-turbo (= 1:2.1.5-2),
 libjs-jquery (= 3.6.1+dfsg+~3.5.14-1),
 libjs-sphinxdoc (= 5.3.0-7),
 libjs-underscore (= 1.13.4~dfsg+~1.11.4-3),
 libjson-perl (= 4.10000-1),
 libk5crypto3 (= 1.20.1-4),
 libkeyutils1 (= 1.6.3-2),
 libkpathsea6 (= 2023.20230311.66589-6),
 libkrb5-3 (= 1.20.1-4),
 libkrb5support0 (= 1.20.1-4),
 liblab-gamut1 (= 2.42.2-7+b3),
 liblcms2-2 (= 2.14-2),
 libldap-2.5-0 (= 2.5.13+dfsg-5),
 liblerc4 (= 4.0.0+ds-3),
 liblsan0 (= 13.2.0-4),
 libltdl7 (= 2.4.7-7),
 liblz4-1 (= 1.9.4-1),
 liblzma5 (= 5.4.4-0.1),
 libmagic-mgc (= 1:5.45-2),
 libmagic1 (= 1:5.45-2),
 libmd0 (= 1.1.0-1),
 libmount1 (= 2.39.2-1),
 libmpc3 (= 1.3.1-1),
 libmpfr6 (= 4.2.1-1),
 libncurses-dev (= 6.4+20230625-2),
 libncurses6 (= 6.4+20230625-2),
 libncursesw6 (= 6.4+20230625-2),
 libnettle8 (= 3.9.1-2),
 libnghttp2-14 (= 1.56.0-1),
 libnsl-dev (= 1.3.0-2),
 libnsl2 (= 1.3.0-2),
 libnspr4 (= 2:4.35-1.1),
 libnss3 (= 2:3.92-1),
 libopenjp2-7 (= 2.5.0-2),
 libp11-kit0 (= 0.25.0-4),
 libpam-modules (= 1.5.2-7),
 libpam-modules-bin (= 1.5.2-7),
 libpam-runtime (= 1.5.2-7),
 libpam0g (= 1.5.2-7),
 libpango-1.0-0 (= 1.51.0+ds-2),
 libpangocairo-1.0-0 (= 1.51.0+ds-2),
 libpangoft2-1.0-0 (= 1.51.0+ds-2),
 libpaper-utils (= 1.1.29),
 libpaper1 (= 1.1.29),
 libpathplan4 (= 2.42.2-7+b3),
 libpcre2-8-0 (= 10.42-4),
 libpdfbox-java (= 1:1.8.16-4),
 libperl5.36 (= 5.36.0-9),
 libpipeline1 (= 1.5.7-1),
 libpixman-1-0 (= 0.42.2-1),
 libpkgconf3 (= 1.8.1-1),
 libpng16-16 (= 1.6.40-1),
 libpoppler-glib8 (= 22.12.0-2+b1),
 libpoppler126 (= 22.12.0-2+b1),
 libpotrace0 (= 1.16-2),
 libpsl5 (= 0.21.2-1+b1),
 libptexenc1 (= 2023.20230311.66589-6),
 libpython3-stdlib (= 3.11.4-5+b1),
 libpython3.11-minimal (= 3.11.5-3),
 libpython3.11-stdlib (= 3.11.5-3),
 libquadmath0 (= 13.2.0-4),
 librav1e0 (= 0.6.6-3),
 libreadline-dev (= 8.2-1.3),
 libreadline8 (= 8.2-1.3),
 librtmp1 (= 2.4+20151223.gitfa8646d.1-2+b2),
 libsasl2-2 (= 2.1.28+dfsg1-3),
 libsasl2-modules-db (= 2.1.28+dfsg1-3),
 libseccomp2 (= 2.5.4-1+b3),
 libselinux1 (= 3.5-1),
 libsframe1 (= 2.41-5),
 libsharpyuv0 (= 1.3.2-0.3),
 libsigsegv2 (= 2.14-1),
 libsm6 (= 2:1.2.3-1),
 libsmartcols1 (= 2.39.2-1),
 libsqlite3-0 (= 3.43.1-1),
 libssh2-1 (= 1.11.0-2),
 libssl3 (= 3.0.11-1),
 libstdc++-13-dev (= 13.2.0-4),
 libstdc++6 (= 13.2.0-4),
 libsub-override-perl (= 0.09-4),
 libsvtav1enc1d1 (= 1.7.0+dfsg-2),
 libsynctex2 (= 2023.20230311.66589-6),
 libsystemd0 (= 254.4-1),
 libtasn1-6 (= 4.19.0-3),
 libtcl8.6 (= 8.6.13+dfsg-2),
 libteckit0 (= 2.5.11+ds1-1+b1),
 libtexlua53-5 (= 2023.20230311.66589-6),
 libthai-data (= 0.1.29-1),
 libthai0 (= 0.1.29-1),
 libtiff6 (= 4.5.1+git230720-1),
 libtinfo6 (= 6.4+20230625-2),
 libtirpc-common (= 1.3.3+ds-1),
 libtirpc-dev (= 1.3.3+ds-1),
 libtirpc3 (= 1.3.3+ds-1),
 libtool (= 2.4.7-7),
 libtsan2 (= 13.2.0-4),
 libubsan1 (= 13.2.0-4),
 libuchardet0 (= 0.0.7-1),
 libudev1 (= 254.4-1),
 libunistring5 (= 1.1-2),
 libuuid1 (= 2.39.2-1),
 libwebp7 (= 1.3.2-0.3),
 libx11-6 (= 2:1.8.6-1),
 libx11-data (= 2:1.8.6-1),
 libxau6 (= 1:1.0.9-1),
 libxaw7 (= 2:1.0.14-1),
 libxcb-render0 (= 1.15-1),
 libxcb-shm0 (= 1.15-1),
 libxcb1 (= 1.15-1),
 libxdmcp6 (= 1:1.1.2-3),
 libxext6 (= 2:1.3.4-1+b1),
 libxi6 (= 2:1.8-1+b1),
 libxml2 (= 2.9.14+dfsg-1.3),
 libxmu6 (= 2:1.1.3-3),
 libxpm4 (= 1:3.5.12-1.1),
 libxrender1 (= 1:0.9.10-1.1),
 libxt6 (= 1:1.2.1-1.1),
 libyaml-0-2 (= 0.2.5-1),
 libyuv0 (= 0.0~git20230907.cbfb661-1),
 libzstd1 (= 1.5.5+dfsg2-2),
 libzzip-0-13 (= 0.13.72+dfsg.1-1.1),
 linux-libc-dev (= 6.5.3-1),
 lmodern (= 2.005-1),
 login (= 1:4.13+dfsg1-1+b1),
 m4 (= 1.4.19-4),
 make (= 4.3-4.1),
 man-db (= 2.12.0-1),
 mawk (= 1.3.4.20230808-1),
 media-types (= 10.1.0),
 ncurses-base (= 6.4+20230625-2),
 ncurses-bin (= 6.4+20230625-2),
 openssl (= 3.0.11-1),
 patch (= 2.7.6-7),
 pdf2svg (= 0.2.3-4),
 perl (= 5.36.0-9),
 perl-base (= 5.36.0-9),
 perl-modules-5.36 (= 5.36.0-9),
 pkg-config (= 1.8.1-1),
 pkgconf (= 1.8.1-1),
 pkgconf-bin (= 1.8.1-1),
 po-debconf (= 1.0.21+nmu1),
 preview-latex-style (= 12.2-1),
 python-babel-localedata (= 2.10.3-1),
 python3 (= 3.11.4-5+b1),
 python3-alabaster (= 0.7.12-1),
 python3-babel (= 2.10.3-1),
 python3-certifi (= 2022.9.24-1),
 python3-chardet (= 5.2.0+dfsg-1),
 python3-charset-normalizer (= 3.2.0-1),
 python3-distutils (= 3.11.5-1),
 python3-docutils (= 0.19+dfsg-7),
 python3-idna (= 3.3-2),
 python3-imagesize (= 1.4.1-1),
 python3-jinja2 (= 3.1.2-1),
 python3-latexcodec (= 2.0.1-2),
 python3-lib2to3 (= 3.11.5-1),
 python3-markupsafe (= 2.1.3-1),
 python3-minimal (= 3.11.4-5+b1),
 python3-packaging (= 23.1-1),
 python3-pkg-resources (= 68.1.2-1),
 python3-pybtex (= 0.24.0-4),
 python3-pybtex-docutils (= 1.0.2-1),
 python3-pygments (= 2.15.1+dfsg-1),
 python3-requests (= 2.31.0+dfsg-1),
 python3-roman (= 3.3-3),
 python3-six (= 1.16.0-4),
 python3-snowballstemmer (= 2.2.0-4),
 python3-sphinx (= 5.3.0-7),
 python3-sphinx-press-theme (= 0.8.0-2),
 python3-sphinxcontrib.bibtex (= 2.5.0-2),
 python3-tz (= 2023.3.post1-1),
 python3-urllib3 (= 1.26.16-1),
 python3-yaml (= 6.0.1-1),
 python3.11 (= 3.11.5-3),
 python3.11-minimal (= 3.11.5-3),
 readline-common (= 8.2-1.3),
 rpcsvc-proto (= 1.4.3-1),
 sed (= 4.9-1),
 sensible-utils (= 0.0.20),
 sgml-base (= 1.31),
 sphinx-common (= 5.3.0-7),
 sysvinit-utils (= 3.08-1),
 t1utils (= 1.41-4),
 tar (= 1.34+dfsg-1.2),
 tcl (= 8.6.13),
 tcl-dev (= 8.6.13),
 tcl8.6 (= 8.6.13+dfsg-2),
 tcl8.6-dev (= 8.6.13+dfsg-2),
 tex-common (= 6.18),
 tex-gyre (= 20180621-6),
 texlive-base (= 2023.20230613-3),
 texlive-bibtex-extra (= 2023.20230613-2),
 texlive-binaries (= 2023.20230311.66589-6),
 texlive-font-utils (= 2023.20230613-2),
 texlive-fonts-extra (= 2023.20230613-2),
 texlive-fonts-recommended (= 2023.20230613-3),
 texlive-lang-greek (= 2023.20230613-2),
 texlive-latex-base (= 2023.20230613-3),
 texlive-latex-extra (= 2023.20230613-2),
 texlive-latex-recommended (= 2023.20230613-3),
 texlive-pictures (= 2023.20230613-3),
 texlive-plain-generic (= 2023.20230613-2),
 texlive-publishers (= 2023.20230613-2),
 texlive-science (= 2023.20230613-2),
 txt2man (= 1.7.1-4),
 tzdata (= 2023c-10),
 ucf (= 3.0043+nmu1),
 usr-is-merged (= 37),
 util-linux (= 2.39.2-1),
 x11-common (= 1:7.7+23),
 xdg-utils (= 1.1.3-4.1),
 xfonts-encodings (= 1:1.0.4-2.2),
 xfonts-utils (= 1:7.7+6),
 xml-core (= 0.18+nmu1),
 xz-utils (= 5.4.4-0.1),
 zlib1g (= 1:1.2.13.dfsg-3),
 zlib1g-dev (= 1:1.2.13.dfsg-3)
Environment:
 DEB_BUILD_OPTIONS="parallel=16"
 LANG="en_GB.UTF-8"
 LC_ALL="C.UTF-8"
 LC_COLLATE="C.UTF-8"
 SOURCE_DATE_EPOCH="1695499139"
-------------- next part --------------
A non-text attachment was scrubbed...
Name: signature.asc
Type: application/pgp-signature
Size: 833 bytes
Desc: not available
URL: <http://alioth-lists.debian.net/pipermail/debian-science-maintainers/attachments/20230926/654c2afb/attachment-0001.sig>


More information about the debian-science-maintainers mailing list