[Pkg-electronics-commits] [verilator] 02/03: Merge tag 'upstream/3.854'

أحمد المحمودي (Ahmed El-Mahmoudy) aelmahmoudy at sabily.org
Tue Dec 3 09:36:57 UTC 2013


This is an automated email from the git hooks/post-receive script.

aelmahmoudy-guest pushed a commit to branch master
in repository verilator.

commit 4ce9fa13f0f4b21823f23c957543d6168af34d88
Merge: 8c44a94 e344482
Author: أحمد المحمودي (Ahmed El-Mahmoudy) <aelmahmoudy at sabily.org>
Date:   Tue Dec 3 09:21:08 2013 +0200

    Merge tag 'upstream/3.854'
    
    Upstream version 3.854

 Changes                                            |  24 ++
 README.pdf                                         | Bin 111870 -> 112233 bytes
 bin/verilator                                      |  13 +
 configure                                          |  18 +-
 configure.ac                                       |   2 +-
 include/verilated.cpp                              |   1 +
 include/verilated_config.h                         |   2 +-
 include/verilated_vpi.h                            |  79 ++++--
 internals.pdf                                      | Bin 195231 -> 195589 bytes
 src/V3Ast.cpp                                      |   4 +-
 src/V3Ast.h                                        |   6 +-
 src/V3AstNodes.h                                   |  16 +-
 src/V3Changed.cpp                                  |   2 +-
 src/V3Coverage.cpp                                 |  46 +++-
 src/V3Error.h                                      |   3 +-
 src/V3Global.h                                     |   2 +-
 src/V3Options.cpp                                  |   5 +-
 src/V3Simulate.h                                   |  13 +-
 src/V3Width.cpp                                    |  14 +-
 src/V3WidthSel.cpp                                 |   2 +-
 src/Verilator.cpp                                  | 156 ++++++------
 src/config_build.h                                 |   2 +-
 src/config_rev.h                                   |   2 +-
 test_regress/driver.pl                             |   4 +-
 test_regress/t/TestSimulator.h                     |  78 ++++++
 test_regress/t/{t_dpi_string_c.cpp => TestVpi.h}   |  44 ++--
 test_regress/t/t_cover_toggle.v                    |  19 +-
 test_regress/t/t_dpi_string_c.cpp                  |   1 +
 test_regress/t/t_enum.v                            |  11 +
 .../t/{t_select_index2.pl => t_param_chain.pl}     |   5 +-
 test_regress/t/t_param_chain.v                     |  36 +++
 test_regress/t/t_select_index2.pl                  |   3 +
 .../t/{t_select_index2.pl => t_struct_unpacked.pl} |   5 +-
 ...t_struct_unpacked_bad.v => t_struct_unpacked.v} |  12 +-
 test_regress/t/t_struct_unpacked_bad.pl            |   3 +-
 test_regress/t/t_struct_unpacked_bad.v             |  10 +-
 test_regress/t/t_var_const.v                       |   5 +
 test_regress/t/t_vpi_get.cpp                       | 283 +++++++++++++++++++++
 test_regress/t/{t_vpi_memory.pl => t_vpi_get.pl}   |   8 +-
 test_regress/t/t_vpi_get.v                         |  71 ++++++
 test_regress/t/t_vpi_memory.cpp                    |  69 +++--
 test_regress/t/t_vpi_memory.pl                     |   2 +-
 test_regress/t/t_vpi_memory.v                      |  13 +-
 test_regress/t/t_vpi_unimpl.cpp                    |  18 +-
 test_regress/t/t_vpi_var.cpp                       | 188 +++++++++-----
 test_regress/t/t_vpi_var.pl                        |   5 +
 test_regress/t/t_vpi_var.v                         |  28 +-
 verilator.1                                        |  13 +-
 verilator.html                                     |  14 +
 verilator.pdf                                      | Bin 388701 -> 389267 bytes
 verilator.txt                                      |  13 +
 51 files changed, 1073 insertions(+), 300 deletions(-)

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/pkg-electronics/verilator.git



More information about the Pkg-electronics-commits mailing list