[Pkg-electronics-commits] [verilator] branch upstream updated (0f5359b -> e344482)

أحمد المحمودي (Ahmed El-Mahmoudy) aelmahmoudy at sabily.org
Tue Dec 3 09:37:08 UTC 2013


This is an automated email from the git hooks/post-receive script.

aelmahmoudy-guest pushed a change to branch upstream
in repository verilator.

      from  0f5359b   Imported Upstream version 3.853
      adds  e344482   Imported Upstream version 3.854

No new revisions were added by this update.

Summary of changes:
 Changes                                            |  24 ++
 README.pdf                                         | Bin 111870 -> 112233 bytes
 bin/verilator                                      |  13 +
 configure                                          |  18 +-
 configure.ac                                       |   2 +-
 include/verilated.cpp                              |   1 +
 include/verilated_config.h                         |   2 +-
 include/verilated_vpi.h                            |  79 ++++--
 internals.pdf                                      | Bin 195231 -> 195589 bytes
 src/V3Ast.cpp                                      |   4 +-
 src/V3Ast.h                                        |   6 +-
 src/V3AstNodes.h                                   |  16 +-
 src/V3Changed.cpp                                  |   2 +-
 src/V3Coverage.cpp                                 |  46 +++-
 src/V3Error.h                                      |   3 +-
 src/V3Global.h                                     |   2 +-
 src/V3Options.cpp                                  |   5 +-
 src/V3Simulate.h                                   |  13 +-
 src/V3Width.cpp                                    |  14 +-
 src/V3WidthSel.cpp                                 |   2 +-
 src/Verilator.cpp                                  | 156 ++++++------
 src/config_build.h                                 |   2 +-
 src/config_rev.h                                   |   2 +-
 test_regress/driver.pl                             |   4 +-
 test_regress/t/TestSimulator.h                     |  78 ++++++
 test_regress/t/{t_dpi_display_c.cpp => TestVpi.h}  |  42 ++-
 test_regress/t/t_cover_toggle.v                    |  19 +-
 test_regress/t/t_dpi_string_c.cpp                  |   1 +
 test_regress/t/t_enum.v                            |  11 +
 test_regress/t/{t_EXAMPLE.pl => t_param_chain.pl}  |   0
 test_regress/t/t_param_chain.v                     |  36 +++
 test_regress/t/t_select_index2.pl                  |   3 +
 .../t/{t_EXAMPLE.pl => t_struct_unpacked.pl}       |   0
 ...t_struct_unpacked_bad.v => t_struct_unpacked.v} |  12 +-
 test_regress/t/t_struct_unpacked_bad.pl            |   3 +-
 test_regress/t/t_struct_unpacked_bad.v             |  10 +-
 test_regress/t/t_var_const.v                       |   5 +
 test_regress/t/t_vpi_get.cpp                       | 283 +++++++++++++++++++++
 test_regress/t/{t_vpi_memory.pl => t_vpi_get.pl}   |   8 +-
 test_regress/t/t_vpi_get.v                         |  71 ++++++
 test_regress/t/t_vpi_memory.cpp                    |  69 +++--
 test_regress/t/t_vpi_memory.pl                     |   2 +-
 test_regress/t/t_vpi_memory.v                      |  13 +-
 test_regress/t/t_vpi_unimpl.cpp                    |  18 +-
 test_regress/t/t_vpi_var.cpp                       | 188 +++++++++-----
 test_regress/t/t_vpi_var.pl                        |   5 +
 test_regress/t/t_vpi_var.v                         |  28 +-
 verilator.1                                        |  13 +-
 verilator.html                                     |  14 +
 verilator.pdf                                      | Bin 388701 -> 389267 bytes
 verilator.txt                                      |  13 +
 51 files changed, 1065 insertions(+), 296 deletions(-)
 create mode 100644 test_regress/t/TestSimulator.h
 copy test_regress/t/{t_dpi_display_c.cpp => TestVpi.h} (50%)
 copy test_regress/t/{t_EXAMPLE.pl => t_param_chain.pl} (100%)
 create mode 100644 test_regress/t/t_param_chain.v
 copy test_regress/t/{t_EXAMPLE.pl => t_struct_unpacked.pl} (100%)
 copy test_regress/t/{t_struct_unpacked_bad.v => t_struct_unpacked.v} (55%)
 create mode 100644 test_regress/t/t_vpi_get.cpp
 copy test_regress/t/{t_vpi_memory.pl => t_vpi_get.pl} (81%)
 create mode 100644 test_regress/t/t_vpi_get.v

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/pkg-electronics/verilator.git



More information about the Pkg-electronics-commits mailing list