[Pkg-electronics-commits] [verilator] branch upstream updated (4aad86f -> 4e4068d)

أحمد المحمودي (Ahmed El-Mahmoudy) aelmahmoudy at sabily.org
Wed Nov 26 11:08:59 UTC 2014


This is an automated email from the git hooks/post-receive script.

aelmahmoudy-guest pushed a change to branch upstream
in repository verilator.

      from  4aad86f   Imported Upstream version 3.864
       new  4e4068d   Imported Upstream version 3.866

The 1 revisions listed above as "new" are entirely new to this
repository and will be described in separate emails.  The revisions
listed as "adds" were already present in the repository and have only
been added to this reference.


Summary of changes:
 Changes                                            |  27 ++++
 README.pdf                                         | Bin 112602 -> 112209 bytes
 bin/verilator                                      |  42 ++++--
 configure                                          |  18 +--
 configure.ac                                       |   2 +-
 include/verilated_config.h                         |   2 +-
 include/verilated_vcd_c.cpp                        |  21 ++-
 include/verilated_vcd_c.h                          |  17 ++-
 internals.pdf                                      | Bin 197894 -> 197500 bytes
 src/V3Ast.cpp                                      |  19 ++-
 src/V3Ast.h                                        |  13 +-
 src/V3AstNodes.cpp                                 |   4 +
 src/V3AstNodes.h                                   |  31 ++++-
 src/V3Clean.cpp                                    |   4 +
 src/V3Const.cpp                                    | 148 ++++++++++++++++++++-
 src/V3Dead.cpp                                     |  16 +++
 src/V3EmitC.cpp                                    |  36 ++++-
 src/V3Gate.cpp                                     | 131 +++++++++++++++++-
 src/V3Inline.cpp                                   |   1 +
 src/V3LinkParse.cpp                                |  21 ++-
 src/V3Options.cpp                                  |  34 +++--
 src/V3Options.h                                    |   4 +-
 src/V3PreLex.h                                     |   2 +
 src/V3PreLex.l                                     |   7 +-
 src/V3Premit.cpp                                   |   2 +-
 src/V3Scope.cpp                                    |   5 +-
 src/V3Table.cpp                                    |   2 +-
 src/V3TraceDecl.cpp                                |  14 +-
 src/V3Unknown.cpp                                  |  10 +-
 src/V3Unroll.cpp                                   |  40 ++++--
 src/V3Width.cpp                                    |   2 +-
 src/config_build.h                                 |   2 +-
 src/config_rev.h                                   |   2 +-
 src/verilog.y                                      |  49 +++++--
 test_regress/t/{t_alw_split.pl => t_cellarray.pl}  |  10 +-
 test_regress/t/t_cellarray.v                       | 100 ++++++++++++++
 .../t/{t_alw_combdly.pl => t_concat_opt.pl}        |   0
 test_regress/t/t_concat_opt.v                      |  71 ++++++++++
 .../t/{t_func_rand.cpp => t_enum_public.cpp}       |  19 ++-
 .../t/{t_func_v_noinl.pl => t_enum_public.pl}      |  15 ++-
 test_regress/t/t_enum_public.v                     |  33 +++++
 test_regress/t/{t_flag_f.pl => t_flag_define.pl}   |   8 +-
 test_regress/t/t_flag_define.v                     |  61 +++++++++
 test_regress/t/t_flag_define.vc                    |   7 +
 test_regress/t/t_math_signed5.v                    |  11 ++
 .../t/{t_func_rand.cpp => t_param_public.cpp}      |  17 +--
 test_regress/t/t_param_public.pl                   |  12 +-
 test_regress/t/t_param_public.v                    |   5 +
 test_regress/t/t_preproc.out                       |   6 +-
 test_regress/t/t_preproc.v                         |   4 +
 .../t/{t_EXAMPLE.pl => t_select_bound1.pl}         |   0
 .../t/{t_math_concat0.v => t_select_bound1.v}      |  50 +++----
 .../t/{t_EXAMPLE.pl => t_select_bound2.pl}         |   0
 .../t/{t_math_concat0.v => t_select_bound2.v}      |  50 +++----
 test_regress/t/t_select_plus.v                     |   2 +-
 ...nterface1_modport_trace.pl => t_trace_array.pl} |   6 +-
 test_regress/t/t_trace_array.v                     |  26 ++++
 test_regress/t/t_trace_ena.v                       |   8 ++
 test_regress/t/t_trace_ena_cc.pl                   |   1 +
 .../t/{t_trace_param.pl => t_trace_primitive.pl}   |   6 +-
 test_regress/t/t_trace_primitive.v                 |  43 ++++++
 test_regress/t/{t_EXAMPLE.pl => t_unroll_genf.pl}  |   0
 test_regress/t/t_unroll_genf.v                     |  29 ++++
 verilator.1                                        |  49 ++++---
 verilator.html                                     |  43 ++++--
 verilator.pdf                                      | Bin 388497 -> 389398 bytes
 verilator.txt                                      |  42 +++---
 67 files changed, 1214 insertions(+), 248 deletions(-)
 copy test_regress/t/{t_alw_split.pl => t_cellarray.pl} (80%)
 create mode 100644 test_regress/t/t_cellarray.v
 copy test_regress/t/{t_alw_combdly.pl => t_concat_opt.pl} (100%)
 create mode 100644 test_regress/t/t_concat_opt.v
 copy test_regress/t/{t_func_rand.cpp => t_enum_public.cpp} (52%)
 copy test_regress/t/{t_func_v_noinl.pl => t_enum_public.pl} (72%)
 create mode 100644 test_regress/t/t_enum_public.v
 copy test_regress/t/{t_flag_f.pl => t_flag_define.pl} (84%)
 create mode 100644 test_regress/t/t_flag_define.v
 create mode 100644 test_regress/t/t_flag_define.vc
 copy test_regress/t/{t_func_rand.cpp => t_param_public.cpp} (52%)
 copy test_regress/t/{t_EXAMPLE.pl => t_select_bound1.pl} (100%)
 copy test_regress/t/{t_math_concat0.v => t_select_bound1.v} (62%)
 copy test_regress/t/{t_EXAMPLE.pl => t_select_bound2.pl} (100%)
 copy test_regress/t/{t_math_concat0.v => t_select_bound2.v} (61%)
 copy test_regress/t/{t_interface1_modport_trace.pl => t_trace_array.pl} (78%)
 create mode 100644 test_regress/t/t_trace_array.v
 copy test_regress/t/{t_trace_param.pl => t_trace_primitive.pl} (86%)
 create mode 100644 test_regress/t/t_trace_primitive.v
 copy test_regress/t/{t_EXAMPLE.pl => t_unroll_genf.pl} (100%)
 create mode 100644 test_regress/t/t_unroll_genf.v

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/pkg-electronics/verilator.git



More information about the Pkg-electronics-commits mailing list