[Pkg-electronics-commits] [gnucap] 01/49: move files around

felix salfelder felix-guest at moszumanska.debian.org
Tue Feb 2 21:39:51 UTC 2016


This is an automated email from the git hooks/post-receive script.

felix-guest pushed a commit to branch wip
in repository gnucap.

commit 8e2e4be769f83a1eaf7b6c00e77905e73427a2f0
Author: Al Davis <ad211 at freeelectron.net>
Date:   Tue Apr 30 08:25:06 2013 +0200

    move files around
---
 {src => apps}/bm_complex.cc         | 0
 {src => apps}/bm_exp.cc             | 0
 {src => apps}/bm_fit.cc             | 0
 {src => apps}/bm_generator.cc       | 0
 {src => apps}/bm_model.cc           | 0
 {src => apps}/bm_poly.cc            | 0
 {src => apps}/bm_posy.cc            | 0
 {src => apps}/bm_pulse.cc           | 0
 {src => apps}/bm_pwl.cc             | 0
 {src => apps}/bm_sffm.cc            | 0
 {src => apps}/bm_sin.cc             | 0
 {src => apps}/bm_tanh.cc            | 0
 {src => apps}/bmm_semi.cc           | 0
 {src => apps}/bmm_table.cc          | 0
 {src => apps}/c_clear.cc            | 0
 {src => apps}/c_comand.cc           | 0
 {src => apps}/c_delete.cc           | 0
 {src => apps}/c_exp.cc              | 0
 {src => apps}/c_genrat.cc           | 0
 {src => apps}/c_list.cc             | 0
 {src => apps}/c_measure.cc          | 0
 {src => apps}/c_modify.cc           | 0
 {src => apps}/c_param.cc            | 0
 {src => apps}/c_prbcmd.cc           | 0
 {src => apps}/c_sim.cc              | 0
 {src => apps}/c_status.cc           | 0
 {src => apps}/c_sweep.cc            | 0
 {src => apps}/c_system.cc           | 0
 {src => apps}/d_admit.cc            | 0
 {src => apps}/d_bjt.model           | 0
 {src => apps}/d_cap.cc              | 0
 {src => apps}/d_cccs.cc             | 0
 {src => apps}/d_ccvs.cc             | 0
 {src => apps}/d_coil.cc             | 0
 {src => apps}/d_cs.cc               | 0
 {src => apps}/d_diode.model         | 0
 {src => apps}/d_mos.model           | 0
 {src => apps}/d_mos1.model          | 0
 {src => apps}/d_mos123.model        | 0
 {src => apps}/d_mos2.model          | 0
 {src => apps}/d_mos3.model          | 0
 {src => apps}/d_mos4.model          | 0
 {src => apps}/d_mos5.model          | 0
 {src => apps}/d_mos6.model          | 0
 {src => apps}/d_mos7.model          | 0
 {src => apps}/d_mos8.model          | 0
 {src => apps}/d_mos_base.model      | 0
 {src => apps}/d_poly_cap.cc         | 0
 {src => apps}/d_poly_g.cc           | 0
 {src => apps}/d_res.cc              | 0
 {src => apps}/d_switch.cc           | 0
 {src => apps}/d_trln.cc             | 0
 {src => apps}/d_vcg.cc              | 0
 {src => apps}/d_vcr.cc              | 0
 {src => apps}/d_vcvs.cc             | 0
 {src => apps}/d_vs.cc               | 0
 {src => apps}/func_core.cc          | 0
 {src => apps}/lang_spectre.cc       | 0
 {src => apps}/lang_spice.cc         | 0
 {src => apps}/lang_verilog.cc       | 0
 {src => apps}/measure_at.cc         | 0
 {src => apps}/measure_average.cc    | 0
 {src => apps}/measure_cross.cc      | 0
 {src => apps}/measure_eval.cc       | 0
 {src => apps}/measure_integral.cc   | 0
 {src => apps}/measure_max.cc        | 0
 {src => apps}/measure_min.cc        | 0
 {src => apps}/measure_rms.cc        | 0
 {src => apps}/measure_slewrate.cc   | 0
 {src => apps}/s__init.cc            | 0
 {src => apps}/s__out.cc             | 0
 {src => apps}/s__solve.cc           | 0
 {src => apps}/s_ac.cc               | 0
 {src => apps}/s_dc.cc               | 0
 {src => apps}/s_fo.cc               | 0
 {src => apps}/s_tr.cc               | 0
 {src => apps}/s_tr_set.cc           | 0
 {src => apps}/s_tr_swp.cc           | 0
 {src => include}/ap.h               | 0
 {src => include}/bm.h               | 0
 {src => include}/c_comand.h         | 0
 {src => include}/constant.h         | 0
 {src => include}/d_coment.h         | 0
 {src => include}/d_dot.h            | 0
 {src => include}/d_logic.h          | 0
 {src => include}/d_subckt.h         | 0
 {src => include}/declare.h          | 0
 {src => include}/e_aux.h            | 0
 {src => include}/e_base.h           | 0
 {src => include}/e_card.h           | 0
 {src => include}/e_cardlist.h       | 0
 {src => include}/e_ccsrc.h          | 0
 {src => include}/e_compon.h         | 0
 {src => include}/e_elemnt.h         | 0
 {src => include}/e_model.h          | 0
 {src => include}/e_node.h           | 0
 {src => include}/e_storag.h         | 0
 {src => include}/e_subckt.h         | 0
 {src => include}/globals.h          | 0
 {src => include}/io_.h              | 0
 {src => include}/io_error.h         | 0
 {src => include}/io_trace.h         | 0
 {src => include}/l_compar.h         | 0
 {src => include}/l_denoise.h        | 0
 {src => include}/l_dispatcher.h     | 0
 {src => include}/l_lib.h            | 0
 {src => include}/l_stlextra.h       | 0
 {src => include}/l_timer.h          | 0
 {src => include}/m_base.h           | 0
 {src => include}/m_cpoly.h          | 0
 {src => include}/m_divdiff.h        | 0
 {src => include}/m_expression.h     | 0
 {src => include}/m_interp.h         | 0
 {src => include}/m_matrix.h         | 0
 {src => include}/m_phase.h          | 0
 {src => include}/m_spline.h         | 0
 {src => include}/m_wave.h           | 0
 {src => include}/md.h               | 0
 {src => include}/mode.h             | 0
 {src => include}/patchlev.h         | 0
 {src => include}/s__.h              | 0
 {src => include}/s_tr.h             | 0
 {src => include}/spice-wrapper.cc   | 0
 {src => include}/u_cardst.h         | 0
 {src => include}/u_function.h       | 0
 {src => include}/u_lang.h           | 0
 {src => include}/u_limit.h          | 0
 {src => include}/u_nodemap.h        | 0
 {src => include}/u_opt.h            | 0
 {src => include}/u_parameter.h      | 0
 {src => include}/u_prblst.h         | 0
 {src => include}/u_probe.h          | 0
 {src => include}/u_sdp.h            | 0
 {src => include}/u_sim_data.h       | 0
 {src => include}/u_status.h         | 0
 {src => include}/u_time_pair.h      | 0
 {src => include}/u_xprobe.h         | 0
 {src => lib}/Makefile.am            | 0
 {src => lib}/ap_construct.cc        | 0
 {src => lib}/ap_convert.cc          | 0
 {src => lib}/ap_error.cc            | 0
 {src => lib}/ap_get.cc              | 0
 {src => lib}/ap_match.cc            | 0
 {src => lib}/ap_skip.cc             | 0
 {src => lib}/bm.cc                  | 0
 {src => lib}/bm_cond.cc             | 0
 {src => lib}/bm_value.cc            | 0
 {src => lib}/c__cmd.cc              | 0
 {src => lib}/c_attach.cc            | 0
 {src => lib}/c_file.cc              | 0
 {src => lib}/d_logic.cc             | 0
 {src => lib}/d_logicmod.cc          | 0
 {src => lib}/d_subckt.cc            | 0
 {src => lib}/e_base.cc              | 0
 {src => lib}/e_card.cc              | 0
 {src => lib}/e_cardlist.cc          | 0
 {src => lib}/e_ccsrc.cc             | 0
 {src => lib}/e_compon.cc            | 0
 {src => lib}/e_elemnt.cc            | 0
 {src => lib}/e_model.cc             | 0
 {src => lib}/e_node.cc              | 0
 {src => lib}/e_storag.cc            | 0
 {src => lib}/findbr.cc              | 0
 {src => lib}/globals.cc             | 0
 {src => lib}/io.cc                  | 0
 {src => lib}/io_contr.cc            | 0
 {src => lib}/io_error.cc            | 0
 {src => lib}/io_findf.cc            | 0
 {src => lib}/io_out.cc              | 0
 {src => lib}/io_xopen.cc            | 0
 {src => lib}/l_ftos.cc              | 0
 {src => lib}/l_pmatch.cc            | 0
 {src => lib}/l_timer.cc             | 0
 {src => lib}/l_trim.cc              | 0
 {src => lib}/l_wmatch.cc            | 0
 {src => lib}/m_base_in.cc           | 0
 {src => lib}/m_base_math.cc         | 0
 {src => lib}/m_expression_dump.cc   | 0
 {src => lib}/m_expression_in.cc     | 0
 {src => lib}/m_expression_reduce.cc | 0
 {src => lib}/m_fft.cc               | 0
 {src => lib}/m_spline.cc            | 0
 {src => lib}/plot.cc                | 0
 {src => lib}/test_readline.cc       | 0
 {src => lib}/u_function.cc          | 0
 {src => lib}/u_lang.cc              | 0
 {src => lib}/u_nodemap.cc           | 0
 {src => lib}/u_opt1.cc              | 0
 {src => lib}/u_opt2.cc              | 0
 {src => lib}/u_parameter.cc         | 0
 {src => lib}/u_prblst.cc            | 0
 {src => lib}/u_probe.cc             | 0
 {src => lib}/u_sim_data.cc          | 0
 {src => lib}/u_xprobe.cc            | 0
 {src => main}/main.cc               | 0
 195 files changed, 0 insertions(+), 0 deletions(-)

diff --git a/src/bm_complex.cc b/apps/bm_complex.cc
similarity index 100%
rename from src/bm_complex.cc
rename to apps/bm_complex.cc
diff --git a/src/bm_exp.cc b/apps/bm_exp.cc
similarity index 100%
rename from src/bm_exp.cc
rename to apps/bm_exp.cc
diff --git a/src/bm_fit.cc b/apps/bm_fit.cc
similarity index 100%
rename from src/bm_fit.cc
rename to apps/bm_fit.cc
diff --git a/src/bm_generator.cc b/apps/bm_generator.cc
similarity index 100%
rename from src/bm_generator.cc
rename to apps/bm_generator.cc
diff --git a/src/bm_model.cc b/apps/bm_model.cc
similarity index 100%
rename from src/bm_model.cc
rename to apps/bm_model.cc
diff --git a/src/bm_poly.cc b/apps/bm_poly.cc
similarity index 100%
rename from src/bm_poly.cc
rename to apps/bm_poly.cc
diff --git a/src/bm_posy.cc b/apps/bm_posy.cc
similarity index 100%
rename from src/bm_posy.cc
rename to apps/bm_posy.cc
diff --git a/src/bm_pulse.cc b/apps/bm_pulse.cc
similarity index 100%
rename from src/bm_pulse.cc
rename to apps/bm_pulse.cc
diff --git a/src/bm_pwl.cc b/apps/bm_pwl.cc
similarity index 100%
rename from src/bm_pwl.cc
rename to apps/bm_pwl.cc
diff --git a/src/bm_sffm.cc b/apps/bm_sffm.cc
similarity index 100%
rename from src/bm_sffm.cc
rename to apps/bm_sffm.cc
diff --git a/src/bm_sin.cc b/apps/bm_sin.cc
similarity index 100%
rename from src/bm_sin.cc
rename to apps/bm_sin.cc
diff --git a/src/bm_tanh.cc b/apps/bm_tanh.cc
similarity index 100%
rename from src/bm_tanh.cc
rename to apps/bm_tanh.cc
diff --git a/src/bmm_semi.cc b/apps/bmm_semi.cc
similarity index 100%
rename from src/bmm_semi.cc
rename to apps/bmm_semi.cc
diff --git a/src/bmm_table.cc b/apps/bmm_table.cc
similarity index 100%
rename from src/bmm_table.cc
rename to apps/bmm_table.cc
diff --git a/src/c_clear.cc b/apps/c_clear.cc
similarity index 100%
rename from src/c_clear.cc
rename to apps/c_clear.cc
diff --git a/src/c_comand.cc b/apps/c_comand.cc
similarity index 100%
rename from src/c_comand.cc
rename to apps/c_comand.cc
diff --git a/src/c_delete.cc b/apps/c_delete.cc
similarity index 100%
rename from src/c_delete.cc
rename to apps/c_delete.cc
diff --git a/src/c_exp.cc b/apps/c_exp.cc
similarity index 100%
rename from src/c_exp.cc
rename to apps/c_exp.cc
diff --git a/src/c_genrat.cc b/apps/c_genrat.cc
similarity index 100%
rename from src/c_genrat.cc
rename to apps/c_genrat.cc
diff --git a/src/c_list.cc b/apps/c_list.cc
similarity index 100%
rename from src/c_list.cc
rename to apps/c_list.cc
diff --git a/src/c_measure.cc b/apps/c_measure.cc
similarity index 100%
rename from src/c_measure.cc
rename to apps/c_measure.cc
diff --git a/src/c_modify.cc b/apps/c_modify.cc
similarity index 100%
rename from src/c_modify.cc
rename to apps/c_modify.cc
diff --git a/src/c_param.cc b/apps/c_param.cc
similarity index 100%
rename from src/c_param.cc
rename to apps/c_param.cc
diff --git a/src/c_prbcmd.cc b/apps/c_prbcmd.cc
similarity index 100%
rename from src/c_prbcmd.cc
rename to apps/c_prbcmd.cc
diff --git a/src/c_sim.cc b/apps/c_sim.cc
similarity index 100%
rename from src/c_sim.cc
rename to apps/c_sim.cc
diff --git a/src/c_status.cc b/apps/c_status.cc
similarity index 100%
rename from src/c_status.cc
rename to apps/c_status.cc
diff --git a/src/c_sweep.cc b/apps/c_sweep.cc
similarity index 100%
rename from src/c_sweep.cc
rename to apps/c_sweep.cc
diff --git a/src/c_system.cc b/apps/c_system.cc
similarity index 100%
rename from src/c_system.cc
rename to apps/c_system.cc
diff --git a/src/d_admit.cc b/apps/d_admit.cc
similarity index 100%
rename from src/d_admit.cc
rename to apps/d_admit.cc
diff --git a/src/d_bjt.model b/apps/d_bjt.model
similarity index 100%
rename from src/d_bjt.model
rename to apps/d_bjt.model
diff --git a/src/d_cap.cc b/apps/d_cap.cc
similarity index 100%
rename from src/d_cap.cc
rename to apps/d_cap.cc
diff --git a/src/d_cccs.cc b/apps/d_cccs.cc
similarity index 100%
rename from src/d_cccs.cc
rename to apps/d_cccs.cc
diff --git a/src/d_ccvs.cc b/apps/d_ccvs.cc
similarity index 100%
rename from src/d_ccvs.cc
rename to apps/d_ccvs.cc
diff --git a/src/d_coil.cc b/apps/d_coil.cc
similarity index 100%
rename from src/d_coil.cc
rename to apps/d_coil.cc
diff --git a/src/d_cs.cc b/apps/d_cs.cc
similarity index 100%
rename from src/d_cs.cc
rename to apps/d_cs.cc
diff --git a/src/d_diode.model b/apps/d_diode.model
similarity index 100%
rename from src/d_diode.model
rename to apps/d_diode.model
diff --git a/src/d_mos.model b/apps/d_mos.model
similarity index 100%
rename from src/d_mos.model
rename to apps/d_mos.model
diff --git a/src/d_mos1.model b/apps/d_mos1.model
similarity index 100%
rename from src/d_mos1.model
rename to apps/d_mos1.model
diff --git a/src/d_mos123.model b/apps/d_mos123.model
similarity index 100%
rename from src/d_mos123.model
rename to apps/d_mos123.model
diff --git a/src/d_mos2.model b/apps/d_mos2.model
similarity index 100%
rename from src/d_mos2.model
rename to apps/d_mos2.model
diff --git a/src/d_mos3.model b/apps/d_mos3.model
similarity index 100%
rename from src/d_mos3.model
rename to apps/d_mos3.model
diff --git a/src/d_mos4.model b/apps/d_mos4.model
similarity index 100%
rename from src/d_mos4.model
rename to apps/d_mos4.model
diff --git a/src/d_mos5.model b/apps/d_mos5.model
similarity index 100%
rename from src/d_mos5.model
rename to apps/d_mos5.model
diff --git a/src/d_mos6.model b/apps/d_mos6.model
similarity index 100%
rename from src/d_mos6.model
rename to apps/d_mos6.model
diff --git a/src/d_mos7.model b/apps/d_mos7.model
similarity index 100%
rename from src/d_mos7.model
rename to apps/d_mos7.model
diff --git a/src/d_mos8.model b/apps/d_mos8.model
similarity index 100%
rename from src/d_mos8.model
rename to apps/d_mos8.model
diff --git a/src/d_mos_base.model b/apps/d_mos_base.model
similarity index 100%
rename from src/d_mos_base.model
rename to apps/d_mos_base.model
diff --git a/src/d_poly_cap.cc b/apps/d_poly_cap.cc
similarity index 100%
rename from src/d_poly_cap.cc
rename to apps/d_poly_cap.cc
diff --git a/src/d_poly_g.cc b/apps/d_poly_g.cc
similarity index 100%
rename from src/d_poly_g.cc
rename to apps/d_poly_g.cc
diff --git a/src/d_res.cc b/apps/d_res.cc
similarity index 100%
rename from src/d_res.cc
rename to apps/d_res.cc
diff --git a/src/d_switch.cc b/apps/d_switch.cc
similarity index 100%
rename from src/d_switch.cc
rename to apps/d_switch.cc
diff --git a/src/d_trln.cc b/apps/d_trln.cc
similarity index 100%
rename from src/d_trln.cc
rename to apps/d_trln.cc
diff --git a/src/d_vcg.cc b/apps/d_vcg.cc
similarity index 100%
rename from src/d_vcg.cc
rename to apps/d_vcg.cc
diff --git a/src/d_vcr.cc b/apps/d_vcr.cc
similarity index 100%
rename from src/d_vcr.cc
rename to apps/d_vcr.cc
diff --git a/src/d_vcvs.cc b/apps/d_vcvs.cc
similarity index 100%
rename from src/d_vcvs.cc
rename to apps/d_vcvs.cc
diff --git a/src/d_vs.cc b/apps/d_vs.cc
similarity index 100%
rename from src/d_vs.cc
rename to apps/d_vs.cc
diff --git a/src/func_core.cc b/apps/func_core.cc
similarity index 100%
rename from src/func_core.cc
rename to apps/func_core.cc
diff --git a/src/lang_spectre.cc b/apps/lang_spectre.cc
similarity index 100%
rename from src/lang_spectre.cc
rename to apps/lang_spectre.cc
diff --git a/src/lang_spice.cc b/apps/lang_spice.cc
similarity index 100%
rename from src/lang_spice.cc
rename to apps/lang_spice.cc
diff --git a/src/lang_verilog.cc b/apps/lang_verilog.cc
similarity index 100%
rename from src/lang_verilog.cc
rename to apps/lang_verilog.cc
diff --git a/src/measure_at.cc b/apps/measure_at.cc
similarity index 100%
rename from src/measure_at.cc
rename to apps/measure_at.cc
diff --git a/src/measure_average.cc b/apps/measure_average.cc
similarity index 100%
rename from src/measure_average.cc
rename to apps/measure_average.cc
diff --git a/src/measure_cross.cc b/apps/measure_cross.cc
similarity index 100%
rename from src/measure_cross.cc
rename to apps/measure_cross.cc
diff --git a/src/measure_eval.cc b/apps/measure_eval.cc
similarity index 100%
rename from src/measure_eval.cc
rename to apps/measure_eval.cc
diff --git a/src/measure_integral.cc b/apps/measure_integral.cc
similarity index 100%
rename from src/measure_integral.cc
rename to apps/measure_integral.cc
diff --git a/src/measure_max.cc b/apps/measure_max.cc
similarity index 100%
rename from src/measure_max.cc
rename to apps/measure_max.cc
diff --git a/src/measure_min.cc b/apps/measure_min.cc
similarity index 100%
rename from src/measure_min.cc
rename to apps/measure_min.cc
diff --git a/src/measure_rms.cc b/apps/measure_rms.cc
similarity index 100%
rename from src/measure_rms.cc
rename to apps/measure_rms.cc
diff --git a/src/measure_slewrate.cc b/apps/measure_slewrate.cc
similarity index 100%
rename from src/measure_slewrate.cc
rename to apps/measure_slewrate.cc
diff --git a/src/s__init.cc b/apps/s__init.cc
similarity index 100%
rename from src/s__init.cc
rename to apps/s__init.cc
diff --git a/src/s__out.cc b/apps/s__out.cc
similarity index 100%
rename from src/s__out.cc
rename to apps/s__out.cc
diff --git a/src/s__solve.cc b/apps/s__solve.cc
similarity index 100%
rename from src/s__solve.cc
rename to apps/s__solve.cc
diff --git a/src/s_ac.cc b/apps/s_ac.cc
similarity index 100%
rename from src/s_ac.cc
rename to apps/s_ac.cc
diff --git a/src/s_dc.cc b/apps/s_dc.cc
similarity index 100%
rename from src/s_dc.cc
rename to apps/s_dc.cc
diff --git a/src/s_fo.cc b/apps/s_fo.cc
similarity index 100%
rename from src/s_fo.cc
rename to apps/s_fo.cc
diff --git a/src/s_tr.cc b/apps/s_tr.cc
similarity index 100%
rename from src/s_tr.cc
rename to apps/s_tr.cc
diff --git a/src/s_tr_set.cc b/apps/s_tr_set.cc
similarity index 100%
rename from src/s_tr_set.cc
rename to apps/s_tr_set.cc
diff --git a/src/s_tr_swp.cc b/apps/s_tr_swp.cc
similarity index 100%
rename from src/s_tr_swp.cc
rename to apps/s_tr_swp.cc
diff --git a/src/ap.h b/include/ap.h
similarity index 100%
rename from src/ap.h
rename to include/ap.h
diff --git a/src/bm.h b/include/bm.h
similarity index 100%
rename from src/bm.h
rename to include/bm.h
diff --git a/src/c_comand.h b/include/c_comand.h
similarity index 100%
rename from src/c_comand.h
rename to include/c_comand.h
diff --git a/src/constant.h b/include/constant.h
similarity index 100%
rename from src/constant.h
rename to include/constant.h
diff --git a/src/d_coment.h b/include/d_coment.h
similarity index 100%
rename from src/d_coment.h
rename to include/d_coment.h
diff --git a/src/d_dot.h b/include/d_dot.h
similarity index 100%
rename from src/d_dot.h
rename to include/d_dot.h
diff --git a/src/d_logic.h b/include/d_logic.h
similarity index 100%
rename from src/d_logic.h
rename to include/d_logic.h
diff --git a/src/d_subckt.h b/include/d_subckt.h
similarity index 100%
rename from src/d_subckt.h
rename to include/d_subckt.h
diff --git a/src/declare.h b/include/declare.h
similarity index 100%
rename from src/declare.h
rename to include/declare.h
diff --git a/src/e_aux.h b/include/e_aux.h
similarity index 100%
rename from src/e_aux.h
rename to include/e_aux.h
diff --git a/src/e_base.h b/include/e_base.h
similarity index 100%
rename from src/e_base.h
rename to include/e_base.h
diff --git a/src/e_card.h b/include/e_card.h
similarity index 100%
rename from src/e_card.h
rename to include/e_card.h
diff --git a/src/e_cardlist.h b/include/e_cardlist.h
similarity index 100%
rename from src/e_cardlist.h
rename to include/e_cardlist.h
diff --git a/src/e_ccsrc.h b/include/e_ccsrc.h
similarity index 100%
rename from src/e_ccsrc.h
rename to include/e_ccsrc.h
diff --git a/src/e_compon.h b/include/e_compon.h
similarity index 100%
rename from src/e_compon.h
rename to include/e_compon.h
diff --git a/src/e_elemnt.h b/include/e_elemnt.h
similarity index 100%
rename from src/e_elemnt.h
rename to include/e_elemnt.h
diff --git a/src/e_model.h b/include/e_model.h
similarity index 100%
rename from src/e_model.h
rename to include/e_model.h
diff --git a/src/e_node.h b/include/e_node.h
similarity index 100%
rename from src/e_node.h
rename to include/e_node.h
diff --git a/src/e_storag.h b/include/e_storag.h
similarity index 100%
rename from src/e_storag.h
rename to include/e_storag.h
diff --git a/src/e_subckt.h b/include/e_subckt.h
similarity index 100%
rename from src/e_subckt.h
rename to include/e_subckt.h
diff --git a/src/globals.h b/include/globals.h
similarity index 100%
rename from src/globals.h
rename to include/globals.h
diff --git a/src/io_.h b/include/io_.h
similarity index 100%
rename from src/io_.h
rename to include/io_.h
diff --git a/src/io_error.h b/include/io_error.h
similarity index 100%
rename from src/io_error.h
rename to include/io_error.h
diff --git a/src/io_trace.h b/include/io_trace.h
similarity index 100%
rename from src/io_trace.h
rename to include/io_trace.h
diff --git a/src/l_compar.h b/include/l_compar.h
similarity index 100%
rename from src/l_compar.h
rename to include/l_compar.h
diff --git a/src/l_denoise.h b/include/l_denoise.h
similarity index 100%
rename from src/l_denoise.h
rename to include/l_denoise.h
diff --git a/src/l_dispatcher.h b/include/l_dispatcher.h
similarity index 100%
rename from src/l_dispatcher.h
rename to include/l_dispatcher.h
diff --git a/src/l_lib.h b/include/l_lib.h
similarity index 100%
rename from src/l_lib.h
rename to include/l_lib.h
diff --git a/src/l_stlextra.h b/include/l_stlextra.h
similarity index 100%
rename from src/l_stlextra.h
rename to include/l_stlextra.h
diff --git a/src/l_timer.h b/include/l_timer.h
similarity index 100%
rename from src/l_timer.h
rename to include/l_timer.h
diff --git a/src/m_base.h b/include/m_base.h
similarity index 100%
rename from src/m_base.h
rename to include/m_base.h
diff --git a/src/m_cpoly.h b/include/m_cpoly.h
similarity index 100%
rename from src/m_cpoly.h
rename to include/m_cpoly.h
diff --git a/src/m_divdiff.h b/include/m_divdiff.h
similarity index 100%
rename from src/m_divdiff.h
rename to include/m_divdiff.h
diff --git a/src/m_expression.h b/include/m_expression.h
similarity index 100%
rename from src/m_expression.h
rename to include/m_expression.h
diff --git a/src/m_interp.h b/include/m_interp.h
similarity index 100%
rename from src/m_interp.h
rename to include/m_interp.h
diff --git a/src/m_matrix.h b/include/m_matrix.h
similarity index 100%
rename from src/m_matrix.h
rename to include/m_matrix.h
diff --git a/src/m_phase.h b/include/m_phase.h
similarity index 100%
rename from src/m_phase.h
rename to include/m_phase.h
diff --git a/src/m_spline.h b/include/m_spline.h
similarity index 100%
rename from src/m_spline.h
rename to include/m_spline.h
diff --git a/src/m_wave.h b/include/m_wave.h
similarity index 100%
rename from src/m_wave.h
rename to include/m_wave.h
diff --git a/src/md.h b/include/md.h
similarity index 100%
rename from src/md.h
rename to include/md.h
diff --git a/src/mode.h b/include/mode.h
similarity index 100%
rename from src/mode.h
rename to include/mode.h
diff --git a/src/patchlev.h b/include/patchlev.h
similarity index 100%
rename from src/patchlev.h
rename to include/patchlev.h
diff --git a/src/s__.h b/include/s__.h
similarity index 100%
rename from src/s__.h
rename to include/s__.h
diff --git a/src/s_tr.h b/include/s_tr.h
similarity index 100%
rename from src/s_tr.h
rename to include/s_tr.h
diff --git a/src/spice-wrapper.cc b/include/spice-wrapper.cc
similarity index 100%
rename from src/spice-wrapper.cc
rename to include/spice-wrapper.cc
diff --git a/src/u_cardst.h b/include/u_cardst.h
similarity index 100%
rename from src/u_cardst.h
rename to include/u_cardst.h
diff --git a/src/u_function.h b/include/u_function.h
similarity index 100%
rename from src/u_function.h
rename to include/u_function.h
diff --git a/src/u_lang.h b/include/u_lang.h
similarity index 100%
rename from src/u_lang.h
rename to include/u_lang.h
diff --git a/src/u_limit.h b/include/u_limit.h
similarity index 100%
rename from src/u_limit.h
rename to include/u_limit.h
diff --git a/src/u_nodemap.h b/include/u_nodemap.h
similarity index 100%
rename from src/u_nodemap.h
rename to include/u_nodemap.h
diff --git a/src/u_opt.h b/include/u_opt.h
similarity index 100%
rename from src/u_opt.h
rename to include/u_opt.h
diff --git a/src/u_parameter.h b/include/u_parameter.h
similarity index 100%
rename from src/u_parameter.h
rename to include/u_parameter.h
diff --git a/src/u_prblst.h b/include/u_prblst.h
similarity index 100%
rename from src/u_prblst.h
rename to include/u_prblst.h
diff --git a/src/u_probe.h b/include/u_probe.h
similarity index 100%
rename from src/u_probe.h
rename to include/u_probe.h
diff --git a/src/u_sdp.h b/include/u_sdp.h
similarity index 100%
rename from src/u_sdp.h
rename to include/u_sdp.h
diff --git a/src/u_sim_data.h b/include/u_sim_data.h
similarity index 100%
rename from src/u_sim_data.h
rename to include/u_sim_data.h
diff --git a/src/u_status.h b/include/u_status.h
similarity index 100%
rename from src/u_status.h
rename to include/u_status.h
diff --git a/src/u_time_pair.h b/include/u_time_pair.h
similarity index 100%
rename from src/u_time_pair.h
rename to include/u_time_pair.h
diff --git a/src/u_xprobe.h b/include/u_xprobe.h
similarity index 100%
rename from src/u_xprobe.h
rename to include/u_xprobe.h
diff --git a/src/Makefile.am b/lib/Makefile.am
similarity index 100%
rename from src/Makefile.am
rename to lib/Makefile.am
diff --git a/src/ap_construct.cc b/lib/ap_construct.cc
similarity index 100%
rename from src/ap_construct.cc
rename to lib/ap_construct.cc
diff --git a/src/ap_convert.cc b/lib/ap_convert.cc
similarity index 100%
rename from src/ap_convert.cc
rename to lib/ap_convert.cc
diff --git a/src/ap_error.cc b/lib/ap_error.cc
similarity index 100%
rename from src/ap_error.cc
rename to lib/ap_error.cc
diff --git a/src/ap_get.cc b/lib/ap_get.cc
similarity index 100%
rename from src/ap_get.cc
rename to lib/ap_get.cc
diff --git a/src/ap_match.cc b/lib/ap_match.cc
similarity index 100%
rename from src/ap_match.cc
rename to lib/ap_match.cc
diff --git a/src/ap_skip.cc b/lib/ap_skip.cc
similarity index 100%
rename from src/ap_skip.cc
rename to lib/ap_skip.cc
diff --git a/src/bm.cc b/lib/bm.cc
similarity index 100%
rename from src/bm.cc
rename to lib/bm.cc
diff --git a/src/bm_cond.cc b/lib/bm_cond.cc
similarity index 100%
rename from src/bm_cond.cc
rename to lib/bm_cond.cc
diff --git a/src/bm_value.cc b/lib/bm_value.cc
similarity index 100%
rename from src/bm_value.cc
rename to lib/bm_value.cc
diff --git a/src/c__cmd.cc b/lib/c__cmd.cc
similarity index 100%
rename from src/c__cmd.cc
rename to lib/c__cmd.cc
diff --git a/src/c_attach.cc b/lib/c_attach.cc
similarity index 100%
rename from src/c_attach.cc
rename to lib/c_attach.cc
diff --git a/src/c_file.cc b/lib/c_file.cc
similarity index 100%
rename from src/c_file.cc
rename to lib/c_file.cc
diff --git a/src/d_logic.cc b/lib/d_logic.cc
similarity index 100%
rename from src/d_logic.cc
rename to lib/d_logic.cc
diff --git a/src/d_logicmod.cc b/lib/d_logicmod.cc
similarity index 100%
rename from src/d_logicmod.cc
rename to lib/d_logicmod.cc
diff --git a/src/d_subckt.cc b/lib/d_subckt.cc
similarity index 100%
rename from src/d_subckt.cc
rename to lib/d_subckt.cc
diff --git a/src/e_base.cc b/lib/e_base.cc
similarity index 100%
rename from src/e_base.cc
rename to lib/e_base.cc
diff --git a/src/e_card.cc b/lib/e_card.cc
similarity index 100%
rename from src/e_card.cc
rename to lib/e_card.cc
diff --git a/src/e_cardlist.cc b/lib/e_cardlist.cc
similarity index 100%
rename from src/e_cardlist.cc
rename to lib/e_cardlist.cc
diff --git a/src/e_ccsrc.cc b/lib/e_ccsrc.cc
similarity index 100%
rename from src/e_ccsrc.cc
rename to lib/e_ccsrc.cc
diff --git a/src/e_compon.cc b/lib/e_compon.cc
similarity index 100%
rename from src/e_compon.cc
rename to lib/e_compon.cc
diff --git a/src/e_elemnt.cc b/lib/e_elemnt.cc
similarity index 100%
rename from src/e_elemnt.cc
rename to lib/e_elemnt.cc
diff --git a/src/e_model.cc b/lib/e_model.cc
similarity index 100%
rename from src/e_model.cc
rename to lib/e_model.cc
diff --git a/src/e_node.cc b/lib/e_node.cc
similarity index 100%
rename from src/e_node.cc
rename to lib/e_node.cc
diff --git a/src/e_storag.cc b/lib/e_storag.cc
similarity index 100%
rename from src/e_storag.cc
rename to lib/e_storag.cc
diff --git a/src/findbr.cc b/lib/findbr.cc
similarity index 100%
rename from src/findbr.cc
rename to lib/findbr.cc
diff --git a/src/globals.cc b/lib/globals.cc
similarity index 100%
rename from src/globals.cc
rename to lib/globals.cc
diff --git a/src/io.cc b/lib/io.cc
similarity index 100%
rename from src/io.cc
rename to lib/io.cc
diff --git a/src/io_contr.cc b/lib/io_contr.cc
similarity index 100%
rename from src/io_contr.cc
rename to lib/io_contr.cc
diff --git a/src/io_error.cc b/lib/io_error.cc
similarity index 100%
rename from src/io_error.cc
rename to lib/io_error.cc
diff --git a/src/io_findf.cc b/lib/io_findf.cc
similarity index 100%
rename from src/io_findf.cc
rename to lib/io_findf.cc
diff --git a/src/io_out.cc b/lib/io_out.cc
similarity index 100%
rename from src/io_out.cc
rename to lib/io_out.cc
diff --git a/src/io_xopen.cc b/lib/io_xopen.cc
similarity index 100%
rename from src/io_xopen.cc
rename to lib/io_xopen.cc
diff --git a/src/l_ftos.cc b/lib/l_ftos.cc
similarity index 100%
rename from src/l_ftos.cc
rename to lib/l_ftos.cc
diff --git a/src/l_pmatch.cc b/lib/l_pmatch.cc
similarity index 100%
rename from src/l_pmatch.cc
rename to lib/l_pmatch.cc
diff --git a/src/l_timer.cc b/lib/l_timer.cc
similarity index 100%
rename from src/l_timer.cc
rename to lib/l_timer.cc
diff --git a/src/l_trim.cc b/lib/l_trim.cc
similarity index 100%
rename from src/l_trim.cc
rename to lib/l_trim.cc
diff --git a/src/l_wmatch.cc b/lib/l_wmatch.cc
similarity index 100%
rename from src/l_wmatch.cc
rename to lib/l_wmatch.cc
diff --git a/src/m_base_in.cc b/lib/m_base_in.cc
similarity index 100%
rename from src/m_base_in.cc
rename to lib/m_base_in.cc
diff --git a/src/m_base_math.cc b/lib/m_base_math.cc
similarity index 100%
rename from src/m_base_math.cc
rename to lib/m_base_math.cc
diff --git a/src/m_expression_dump.cc b/lib/m_expression_dump.cc
similarity index 100%
rename from src/m_expression_dump.cc
rename to lib/m_expression_dump.cc
diff --git a/src/m_expression_in.cc b/lib/m_expression_in.cc
similarity index 100%
rename from src/m_expression_in.cc
rename to lib/m_expression_in.cc
diff --git a/src/m_expression_reduce.cc b/lib/m_expression_reduce.cc
similarity index 100%
rename from src/m_expression_reduce.cc
rename to lib/m_expression_reduce.cc
diff --git a/src/m_fft.cc b/lib/m_fft.cc
similarity index 100%
rename from src/m_fft.cc
rename to lib/m_fft.cc
diff --git a/src/m_spline.cc b/lib/m_spline.cc
similarity index 100%
rename from src/m_spline.cc
rename to lib/m_spline.cc
diff --git a/src/plot.cc b/lib/plot.cc
similarity index 100%
rename from src/plot.cc
rename to lib/plot.cc
diff --git a/src/test_readline.cc b/lib/test_readline.cc
similarity index 100%
rename from src/test_readline.cc
rename to lib/test_readline.cc
diff --git a/src/u_function.cc b/lib/u_function.cc
similarity index 100%
rename from src/u_function.cc
rename to lib/u_function.cc
diff --git a/src/u_lang.cc b/lib/u_lang.cc
similarity index 100%
rename from src/u_lang.cc
rename to lib/u_lang.cc
diff --git a/src/u_nodemap.cc b/lib/u_nodemap.cc
similarity index 100%
rename from src/u_nodemap.cc
rename to lib/u_nodemap.cc
diff --git a/src/u_opt1.cc b/lib/u_opt1.cc
similarity index 100%
rename from src/u_opt1.cc
rename to lib/u_opt1.cc
diff --git a/src/u_opt2.cc b/lib/u_opt2.cc
similarity index 100%
rename from src/u_opt2.cc
rename to lib/u_opt2.cc
diff --git a/src/u_parameter.cc b/lib/u_parameter.cc
similarity index 100%
rename from src/u_parameter.cc
rename to lib/u_parameter.cc
diff --git a/src/u_prblst.cc b/lib/u_prblst.cc
similarity index 100%
rename from src/u_prblst.cc
rename to lib/u_prblst.cc
diff --git a/src/u_probe.cc b/lib/u_probe.cc
similarity index 100%
rename from src/u_probe.cc
rename to lib/u_probe.cc
diff --git a/src/u_sim_data.cc b/lib/u_sim_data.cc
similarity index 100%
rename from src/u_sim_data.cc
rename to lib/u_sim_data.cc
diff --git a/src/u_xprobe.cc b/lib/u_xprobe.cc
similarity index 100%
rename from src/u_xprobe.cc
rename to lib/u_xprobe.cc
diff --git a/src/main.cc b/main/main.cc
similarity index 100%
rename from src/main.cc
rename to main/main.cc

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/pkg-electronics/gnucap.git



More information about the Pkg-electronics-commits mailing list