[Pkg-electronics-commits] [gnucap] 03/49: import 2013-04-23.tar.gz, not removing old build system

felix salfelder felix-guest at moszumanska.debian.org
Tue Feb 2 21:39:52 UTC 2016


This is an automated email from the git hooks/post-receive script.

felix-guest pushed a commit to branch wip
in repository gnucap.

commit 7ac10f01487df0b74731141cbfe7c56e79645492
Author: Felix Salfelder <felix at em.cs.uni-frankfurt.de>
Date:   Tue Apr 30 08:37:36 2013 +0200

    import 2013-04-23.tar.gz, not removing old build system
---
 AUTHORS                                  |    3 +
 INSTALL                                  |  246 +-
 src/Make3 => Make3                       |    8 +
 Makefile                                 |   82 +
 Makefile.in                              |  674 -----
 Makefile.template                        |  176 +-
 README                                   |   81 +-
 aclocal.m4                               | 1003 -------
 apps/Make.depend                         |  749 +++++
 {src => apps}/Make1                      |   84 +-
 {src => apps}/Make2.Debug                |    7 +-
 {src => apps}/Make2.g++                  |    7 +-
 {modelgen => apps}/Make2.mingw32         |   11 +-
 apps/bm_complex.cc                       |    3 +-
 apps/bm_exp.cc                           |    7 +-
 apps/bm_fit.cc                           |    3 +-
 apps/bm_generator.cc                     |    3 +-
 apps/bm_model.cc                         |    3 +-
 apps/bm_poly.cc                          |    3 +-
 apps/bm_posy.cc                          |    3 +-
 apps/bm_pulse.cc                         |    7 +-
 apps/bm_pwl.cc                           |   17 +-
 apps/bm_sffm.cc                          |    7 +-
 apps/bm_sin.cc                           |    7 +-
 apps/bm_tanh.cc                          |    3 +-
 apps/bmm_semi.cc                         |    7 +-
 apps/bmm_table.cc                        |    3 +-
 apps/c_comand.cc                         |   15 +-
 apps/c_delete.cc                         |    3 +-
 apps/c_modify.cc                         |    3 +-
 apps/c_prbcmd.cc                         |   20 +-
 src/configure.old => apps/configure      |   64 +-
 apps/d_admit.cc                          |    5 +-
 apps/d_cap.cc                            |    3 +-
 apps/d_cccs.cc                           |    3 +-
 apps/d_ccvs.cc                           |    3 +-
 apps/d_coil.cc                           |    3 +-
 apps/d_cs.cc                             |    3 +-
 apps/d_meter.cc                          |   93 +
 apps/d_poly_cap.cc                       |    3 +-
 apps/d_poly_g.cc                         |    3 +-
 apps/d_res.cc                            |    3 +-
 apps/d_switch.cc                         |    3 +-
 apps/d_trln.cc                           |    3 +-
 apps/d_vcg.cc                            |    3 +-
 apps/d_vcr.cc                            |    3 +-
 apps/d_vcvs.cc                           |    3 +-
 apps/d_vs.cc                             |    3 +-
 apps/func_core.cc                        |    5 +-
 apps/lang_spectre.cc                     |    4 +-
 apps/lang_spice.cc                       |   14 +-
 apps/lang_verilog.cc                     |    8 +-
 apps/measure_at.cc                       |    3 +-
 apps/measure_average.cc                  |    3 +-
 apps/measure_cross.cc                    |    3 +-
 apps/measure_eval.cc                     |    5 +-
 apps/measure_integral.cc                 |    3 +-
 apps/measure_max.cc                      |    3 +-
 apps/measure_min.cc                      |    3 +-
 apps/measure_rms.cc                      |    3 +-
 apps/measure_slewrate.cc                 |    3 +-
 apps/s__init.cc                          |   12 +-
 apps/s__out.cc                           |   10 +-
 apps/s__solve.cc                         |   12 +-
 apps/s_ac.cc                             |    4 +-
 apps/s_dc.cc                             |   10 +-
 apps/s_fo.cc                             |    6 +-
 apps/s_tr.cc                             |   12 +-
 apps/s_tr_swp.cc                         |    4 +-
 modelgen/l_trim.cc => apps/signon.cc     |   25 +-
 {lib => apps}/test_readline.cc           |    5 +-
 config.h.in                              |   34 -
 configure                                | 4816 +-----------------------------
 configure.old                            |   38 -
 depcomp                                  |  530 ----
 doc/COPYING                              |  340 ---
 doc/INSTALL                              |   62 -
 doc/Makefile.in                          |  424 ---
 doc/acs-tutorial                         |  703 -----
 doc/gnucap-ibis.1                        |   72 -
 doc/gnucap.1                             |   73 -
 doc/history                              | 1061 -------
 doc/relnotes.029                         |   92 -
 doc/relnotes.030                         |   60 -
 doc/relnotes.031                         |  126 -
 doc/relnotes.032                         |  132 -
 doc/relnotes.033                         |  161 -
 doc/relnotes.034                         |   65 -
 doc/whatisit                             |   70 -
 examples/Makefile.in                     |  375 ---
 examples/README                          |   15 -
 examples/eq.doc                          |   20 -
 examples/eq2-145.ckt                     |   97 -
 examples/eq2-289.ckt                     |   98 -
 examples/eq2-577.ckt                     |  100 -
 examples/eq3-1153.ckt                    |  107 -
 examples/eq4-2305.ckt                    |  109 -
 examples/eq4-4609.ckt                    |  110 -
 examples/eq4-6913.ckt                    |  111 -
 examples/eq4-9217.ckt                    |  112 -
 examples/eq4-9217.tran-slow.ckt          |  110 -
 examples/eq4-9217.tran.ckt               |  110 -
 examples/eq5-.ac.ckt                     |  118 -
 examples/eq5-.tran.ckt                   |  116 -
 examples/eq5-.tran.euler.fast.ckt        |  116 -
 examples/eq5-.tran.fast.ckt              |  116 -
 examples/eq5-.tran.like-spice.ckt        |  117 -
 examples/eq5-.tran.slow.ckt              |  116 -
 examples/eq5-.tran.vfast.ckt             |  116 -
 examples/eq5-.tran.vvfast.ckt            |  116 -
 examples/eq5-.tran.vvvfast.ckt           |  116 -
 examples/eq6-.tran.vvvfast.ckt           |  122 -
 examples/eq7-.tran.default.ckt           |  128 -
 examples/eq7-.tran.nobypass.ckt          |  128 -
 examples/eq7-.tran.nofbbypass.ckt        |  128 -
 examples/eq7-.tran.noincmode.ckt         |  128 -
 examples/eq7-.tran.nolcbypass.ckt        |  128 -
 examples/eq7-.tran.nolubypass.ckt        |  128 -
 examples/eq7-.tran.notraceload.ckt       |  128 -
 examples/eq7-.tran.slow.ckt              |  128 -
 examples/eq7-.tran.spice-accurate.ckt    |  128 -
 examples/eq7-.tran.vvvfast.ckt           |  128 -
 examples/eqboost.ckt                     |   85 -
 examples/eqflat.ckt                      |   85 -
 examples/eqmodify.ckt                    |   88 -
 examples/killzap.ckt                     |    8 -
 examples/killzap.doc                     |    9 -
 examples/nmos.doc                        |   28 -
 examples/nmos100.ckt                     |  209 --
 examples/nmos15.ckt                      |   38 -
 examples/nmos18.ckt                      |   44 -
 examples/nmos30.ckt                      |   68 -
 examples/nmosgen.c                       |   26 -
 examples/nmp100.ckt                      |  307 --
 examples/nmpgen.c                        |   27 -
 examples/opamp-ol.ckt                    |   58 -
 examples/opamp-vf.ckt                    |   48 -
 examples/opamp.doc                       |   19 -
 examples/runall                          |   16 -
 examples/runall.out                      |  959 ------
 examples/sc18.ckt                        |   44 -
 include/Make.depend                      |    0
 {modelgen => include}/Make1              |   50 +-
 include/configure                        |   29 +
 include/e_base.h                         |    4 +-
 include/e_cardlist.h                     |    4 +-
 include/e_compon.h                       |    6 +-
 include/e_model.h                        |    3 +-
 include/globals.h                        |    4 +-
 include/io_.h                            |   26 +-
 include/io_error.h                       |    7 +-
 include/m_base.h                         |   12 +-
 include/m_expression.h                   |    9 +-
 include/md.h                             |    5 +-
 include/patchlev.h                       |    2 +-
 include/s__.h                            |    6 +-
 include/spice-wrapper.cc                 |    8 +-
 include/trace_off.h                      |    2 +
 include/trace_on.h                       |    2 +
 include/u_lang.h                         |    4 +-
 include/u_parameter.h                    |   29 +-
 include/u_prblst.h                       |   16 +-
 include/u_sim_data.h                     |    8 +-
 install-sh                               |  323 --
 lib/Make.depend                          |  300 ++
 {modelgen => lib}/Make1                  |   49 +-
 {src => lib}/Make2.Debug                 |   10 +-
 {src => lib}/Make2.g++                   |   10 +-
 {modelgen => lib}/Make2.mingw32          |   18 +-
 lib/ap_convert.cc                        |    4 +-
 lib/bm.cc                                |    3 +-
 lib/bm_cond.cc                           |    7 +-
 lib/bm_value.cc                          |    3 +-
 lib/c__cmd.cc                            |   12 +-
 lib/c_attach.cc                          |   24 +-
 lib/c_file.cc                            |    8 +-
 src/configure.old => lib/configure       |   64 +-
 lib/d_logic.cc                           |    3 +-
 lib/d_subckt.cc                          |   18 +-
 lib/e_base.cc                            |   17 +-
 lib/e_cardlist.cc                        |    8 +-
 lib/e_compon.cc                          |   17 +-
 lib/e_elemnt.cc                          |    4 +-
 lib/e_model.cc                           |   17 +-
 lib/e_node.cc                            |    4 +-
 lib/globals.cc                           |   12 +-
 lib/io.cc                                |    3 +-
 lib/io_contr.cc                          |    4 +-
 lib/io_out.cc                            |   13 +-
 lib/io_xopen.cc                          |    8 +-
 lib/m_expression_reduce.cc               |   11 +-
 lib/test_readline.cc                     |    5 +-
 lib/u_lang.cc                            |   21 +-
 lib/u_opt2.cc                            |   19 +-
 lib/u_prblst.cc                          |    4 +-
 lib/u_probe.cc                           |    6 +-
 lib/u_sim_data.cc                        |  110 +-
 main/Make.depend                         |    9 +
 modelgen/Make3 => main/Make1             |  103 +-
 {src => main}/Make2.Debug                |   14 +-
 {src => main}/Make2.g++                  |   11 +-
 {src => main}/Make2.mingw32              |    4 +-
 modelgen/configure.old => main/configure |   59 +-
 main/main.cc                             |  147 +-
 missing                                  |  360 ---
 modelgen/Make.depend                     |   58 +-
 modelgen/Make1                           |   26 +-
 modelgen/Make2.Debug                     |   14 +-
 modelgen/Make2.g++                       |   11 +-
 modelgen/Make2.mingw32                   |   26 +-
 modelgen/Makefile.in                     |  517 ----
 modelgen/Makefile.template               |  119 -
 modelgen/ap.h                            |  210 --
 modelgen/ap_construct.cc                 |  272 --
 modelgen/ap_convert.cc                   |  345 ---
 modelgen/ap_get.cc                       |   83 -
 modelgen/ap_match.cc                     |  122 -
 modelgen/ap_skip.cc                      |  149 -
 modelgen/{configure.old => configure}    |   59 +-
 modelgen/declare.h                       |    1 -
 modelgen/io.cc                           |   33 -
 modelgen/io_.h                           |  103 -
 modelgen/io_error.h                      |  111 -
 modelgen/io_out.cc                       |  224 --
 modelgen/io_trace.h                      |   82 -
 modelgen/l_lib.h                         |   82 -
 modelgen/md.h                            |  211 --
 modelgen/mode.h                          |   76 -
 modelgen/patchlev.h                      |    1 -
 modelgen/u_opt.h                         |  207 --
 modelgen/u_opt1.cc                       |  122 -
 src/Make.depend                          |  532 ----
 {lib => src}/Makefile.am                 |    0
 src/Makefile.in                          |  761 -----
 src/Makefile.template                    |  123 -
 235 files changed, 2519 insertions(+), 22803 deletions(-)

diff --git a/AUTHORS b/AUTHORS
index 294afc0..5610558 100644
--- a/AUTHORS
+++ b/AUTHORS
@@ -9,3 +9,6 @@ than a bug report:
     Telford Tendys - documentation and convergence
     Dmitry Nadezhin - "electric" interface
     Simon Hoffe - Command line editing and history
+    Gennady Serdyuk - lots of new work in progress
+    Felix Salfelder - lots of new work in progress
+
diff --git a/INSTALL b/INSTALL
index 23e5f25..3dac1b3 100644
--- a/INSTALL
+++ b/INSTALL
@@ -1,236 +1,38 @@
 Installation Instructions
 *************************
 
-Copyright (C) 1994, 1995, 1996, 1999, 2000, 2001, 2002, 2004, 2005 Free
-Software Foundation, Inc.
+This program does not use "autoconf" but rather uses a simple script
+that provides a subset with a similar interface.
 
-This file is free documentation; the Free Software Foundation gives
-unlimited permission to copy, distribute and modify it.
+In most cases, you can do:
+=======
+./configure
+make
+sudo make install
+=======
 
-Basic Installation
-==================
+The scripts are recursive, so you can cd to any of the subdirectories
+and do the same.
 
-These are generic installation instructions.
+By default, it installs in /usr/local .  To change it, you can specify a prefix:
 
-   The `configure' shell script attempts to guess correct values for
-various system-dependent variables used during compilation.  It uses
-those values to create a `Makefile' in each directory of the package.
-It may also create one or more `.h' files containing system-dependent
-definitions.  Finally, it creates a shell script `config.status' that
-you can run in the future to recreate the current configuration, and a
-file `config.log' containing compiler output (useful mainly for
-debugging `configure').
+./configure --prefix=/usr/share
 
-   It can also use an optional file (typically called `config.cache'
-and enabled with `--cache-file=config.cache' or simply `-C') that saves
-the results of its tests to speed up reconfiguring.  (Caching is
-disabled by default to prevent problems with accidental use of stale
-cache files.)
+If you have already compiled it, you do not need to recompile to
+change the install directory.  Just ./configure and make install.
 
-   If you need to do unusual things to compile the package, please try
-to figure out how `configure' could check whether to do them, and mail
-diffs or instructions to the address given in the `README' so they can
-be considered for the next release.  If you are using the cache, and at
-some point `config.cache' contains results you don't want to keep, you
-may remove or edit it.
+Other targets:
 
-   The file `configure.ac' (or `configure.in') is used to create
-`configure' by a program called `autoconf'.  You only need
-`configure.ac' if you want to change it or regenerate `configure' using
-a newer version of `autoconf'.
+"make clean" removes object files.
 
-The simplest way to compile this package is:
+"make debug" builds a development version with additional debugging
+code enabled.  It runs about 2x slower than the standard build.
 
-  1. `cd' to the directory containing the package's source code and type
-     `./configure' to configure the package for your system.  If you're
-     using `csh' on an old version of System V, you might need to type
-     `sh ./configure' instead to prevent `csh' from trying to execute
-     `configure' itself.
+"make depend" builds the files "Make.depend" which are used to decide
+what to rebuild.  You need to do this only if you modify the code in a
+way that changes what files are included by #include.
 
-     Running `configure' takes awhile.  While running, it prints some
-     messages telling which features it is checking for.
-
-  2. Type `make' to compile the package.
-
-  3. Optionally, type `make check' to run any self-tests that come with
-     the package.
-
-  4. Type `make install' to install the programs and any data files and
-     documentation.
-
-  5. You can remove the program binaries and object files from the
-     source code directory by typing `make clean'.  To also remove the
-     files that `configure' created (so you can compile the package for
-     a different kind of computer), type `make distclean'.  There is
-     also a `make maintainer-clean' target, but that is intended mainly
-     for the package's developers.  If you use it, you may have to get
-     all sorts of other programs in order to regenerate files that came
-     with the distribution.
-
-Compilers and Options
-=====================
-
-Some systems require unusual options for compilation or linking that the
-`configure' script does not know about.  Run `./configure --help' for
-details on some of the pertinent environment variables.
-
-   You can give `configure' initial values for configuration parameters
-by setting variables in the command line or in the environment.  Here
-is an example:
-
-     ./configure CC=c89 CFLAGS=-O2 LIBS=-lposix
-
-   *Note Defining Variables::, for more details.
-
-Compiling For Multiple Architectures
-====================================
-
-You can compile the package for more than one kind of computer at the
-same time, by placing the object files for each architecture in their
-own directory.  To do this, you must use a version of `make' that
-supports the `VPATH' variable, such as GNU `make'.  `cd' to the
-directory where you want the object files and executables to go and run
-the `configure' script.  `configure' automatically checks for the
-source code in the directory that `configure' is in and in `..'.
-
-   If you have to use a `make' that does not support the `VPATH'
-variable, you have to compile the package for one architecture at a
-time in the source code directory.  After you have installed the
-package for one architecture, use `make distclean' before reconfiguring
-for another architecture.
-
-Installation Names
-==================
-
-By default, `make install' installs the package's commands under
-`/usr/local/bin', include files under `/usr/local/include', etc.  You
-can specify an installation prefix other than `/usr/local' by giving
-`configure' the option `--prefix=PREFIX'.
-
-   You can specify separate installation prefixes for
-architecture-specific files and architecture-independent files.  If you
-pass the option `--exec-prefix=PREFIX' to `configure', the package uses
-PREFIX as the prefix for installing programs and libraries.
-Documentation and other data files still use the regular prefix.
-
-   In addition, if you use an unusual directory layout you can give
-options like `--bindir=DIR' to specify different values for particular
-kinds of files.  Run `configure --help' for a list of the directories
-you can set and what kinds of files go in them.
-
-   If the package supports it, you can cause programs to be installed
-with an extra prefix or suffix on their names by giving `configure' the
-option `--program-prefix=PREFIX' or `--program-suffix=SUFFIX'.
-
-Optional Features
-=================
-
-Some packages pay attention to `--enable-FEATURE' options to
-`configure', where FEATURE indicates an optional part of the package.
-They may also pay attention to `--with-PACKAGE' options, where PACKAGE
-is something like `gnu-as' or `x' (for the X Window System).  The
-`README' should mention any `--enable-' and `--with-' options that the
-package recognizes.
-
-   For packages that use the X Window System, `configure' can usually
-find the X include and library files automatically, but if it doesn't,
-you can use the `configure' options `--x-includes=DIR' and
-`--x-libraries=DIR' to specify their locations.
-
-Specifying the System Type
-==========================
-
-There may be some features `configure' cannot figure out automatically,
-but needs to determine by the type of machine the package will run on.
-Usually, assuming the package is built to be run on the _same_
-architectures, `configure' can figure that out, but if it prints a
-message saying it cannot guess the machine type, give it the
-`--build=TYPE' option.  TYPE can either be a short name for the system
-type, such as `sun4', or a canonical name which has the form:
-
-     CPU-COMPANY-SYSTEM
-
-where SYSTEM can have one of these forms:
-
-     OS KERNEL-OS
-
-   See the file `config.sub' for the possible values of each field.  If
-`config.sub' isn't included in this package, then this package doesn't
-need to know the machine type.
-
-   If you are _building_ compiler tools for cross-compiling, you should
-use the option `--target=TYPE' to select the type of system they will
-produce code for.
-
-   If you want to _use_ a cross compiler, that generates code for a
-platform different from the build platform, you should specify the
-"host" platform (i.e., that on which the generated programs will
-eventually be run) with `--host=TYPE'.
-
-Sharing Defaults
-================
-
-If you want to set default values for `configure' scripts to share, you
-can create a site shell script called `config.site' that gives default
-values for variables like `CC', `cache_file', and `prefix'.
-`configure' looks for `PREFIX/share/config.site' if it exists, then
-`PREFIX/etc/config.site' if it exists.  Or, you can set the
-`CONFIG_SITE' environment variable to the location of the site script.
-A warning: not all `configure' scripts look for a site script.
-
-Defining Variables
-==================
-
-Variables not defined in a site shell script can be set in the
-environment passed to `configure'.  However, some packages may run
-configure again during the build, and the customized values of these
-variables may be lost.  In order to avoid this problem, you should set
-them in the `configure' command line, using `VAR=value'.  For example:
-
-     ./configure CC=/usr/local2/bin/gcc
-
-causes the specified `gcc' to be used as the C compiler (unless it is
-overridden in the site shell script).  Here is a another example:
-
-     /bin/bash ./configure CONFIG_SHELL=/bin/bash
-
-Here the `CONFIG_SHELL=/bin/bash' operand causes subsequent
-configuration-related scripts to be executed by `/bin/bash'.
-
-`configure' Invocation
-======================
-
-`configure' recognizes the following options to control how it operates.
-
-`--help'
-`-h'
-     Print a summary of the options to `configure', and exit.
-
-`--version'
-`-V'
-     Print the version of Autoconf used to generate the `configure'
-     script, and exit.
-
-`--cache-file=FILE'
-     Enable the cache: use and save the results of the tests in FILE,
-     traditionally `config.cache'.  FILE defaults to `/dev/null' to
-     disable caching.
-
-`--config-cache'
-`-C'
-     Alias for `--cache-file=config.cache'.
-
-`--quiet'
-`--silent'
-`-q'
-     Do not print messages saying which checks are being made.  To
-     suppress all normal output, redirect it to `/dev/null' (any error
-     messages will still be shown).
-
-`--srcdir=DIR'
-     Look for the package's source code in directory DIR.  Usually
-     `configure' can determine that directory automatically.
-
-`configure' also accepts some other, not widely useful, options.  Run
-`configure --help' for more details.
+"make tags" builds cross reference files that can be used by some
+editors, such as emacs, to navigate the code.
 
+"make manifest" builds a list of the files included in a distribution.
diff --git a/src/Make3 b/Make3
similarity index 90%
rename from src/Make3
rename to Make3
index 2e5f95e..f9e6f60 100644
--- a/src/Make3
+++ b/Make3
@@ -34,6 +34,14 @@ checkin:
 checkout:
 	co $(RAW)
 #------------------------------------------------------------------------
+install : $(INSTALL_FILES)
+	echo ==================
+	mkdir -p $(INSTALL_DIR)
+	cp $(INSTALL_FILES) $(INSTALL_DIR)
+#------------------------------------------------------------------------
+uninstall : 
+	(cd $(INSTALL_DIR) ; rm $(INSTALL_FILES))
+#------------------------------------------------------------------------
 #unclean:
 #	rm $(ALL)
 #------------------------------------------------------------------------
diff --git a/Makefile b/Makefile
new file mode 100644
index 0000000..17178aa
--- /dev/null
+++ b/Makefile
@@ -0,0 +1,82 @@
+
+
+gnucap: nothing
+	#(cd include; ${MAKE} gnucap)
+	(cd lib; ${MAKE} -k)
+	(cd modelgen; ${MAKE} -k)
+	(cd main; ${MAKE} -k)
+	(cd apps; ${MAKE} -k)
+
+debug:
+	#(cd include; ${MAKE} debug)
+	(cd lib; ${MAKE} debug)
+	(cd modelgen; ${MAKE} debug)
+	(cd main; ${MAKE} debug)
+	(cd apps; ${MAKE} debug)
+
+g++:
+	#(cd include; ${MAKE} g++)
+	(cd lib; ${MAKE} g++)
+	(cd modelgen; ${MAKE} g++)
+	(cd main; ${MAKE} g++)
+	(cd apps; ${MAKE} g++)
+
+mingw:
+	#(cd include; ${MAKE} mingw)
+	(cd lib; ${MAKE} mingw)
+	(cd modelgen; ${MAKE} mingw)
+	(cd main; ${MAKE} mingw)
+	(cd apps; ${MAKE} mingw)
+
+clean:
+	#(cd include; ${MAKE} clean)
+	(cd lib; ${MAKE} clean)
+	(cd modelgen; ${MAKE} clean)
+	(cd main; ${MAKE} clean)
+	(cd apps; ${MAKE} clean)
+	-rm *~ \#*\#
+
+depend:
+	#(cd include; ${MAKE} depend)
+	(cd lib; ${MAKE} depend)
+	(cd modelgen; ${MAKE} depend)
+	(cd main; ${MAKE} depend)
+	(cd apps; ${MAKE} depend)
+
+tags:
+	#(cd include; ${MAKE} tags)
+	(cd lib; ${MAKE} tags)
+	(cd modelgen; ${MAKE} tags)
+	(cd main; ${MAKE} tags)
+	(cd apps; ${MAKE} tags)
+
+unconfig:
+	#(cd include; ${MAKE} unconfig)
+	(cd lib; ${MAKE} unconfig)
+	(cd modelgen; ${MAKE} unconfig)
+	(cd main; ${MAKE} unconfig)
+	(cd apps; ${MAKE} unconfig)
+
+install: nothing
+	(cd include; ${MAKE} install)
+	(cd lib; ${MAKE} install)
+	(cd modelgen; ${MAKE} install)
+	(cd main; ${MAKE} install)
+	(cd apps; ${MAKE} install)
+
+uninstall: nothing
+	(cd include; ${MAKE} uninstall)
+	(cd lib; ${MAKE} uninstall)
+	(cd modelgen; ${MAKE} uninstall)
+	(cd main; ${MAKE} uninstall)
+	(cd apps; ${MAKE} uninstall)
+
+manifest:
+	(cd include; ${MAKE} manifest)
+	(cd lib; ${MAKE} manifest)
+	(cd modelgen; ${MAKE} manifest)
+	(cd main; ${MAKE} manifest)
+	(cd apps; ${MAKE} manifest)
+
+nothing:
+
diff --git a/Makefile.in b/Makefile.in
deleted file mode 100644
index a043b40..0000000
--- a/Makefile.in
+++ /dev/null
@@ -1,674 +0,0 @@
-# Makefile.in generated by automake 1.11 from Makefile.am.
-# @configure_input@
-
-# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
-# 2003, 2004, 2005, 2006, 2007, 2008, 2009  Free Software Foundation,
-# Inc.
-# This Makefile.in is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# This program is distributed in the hope that it will be useful,
-# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
-# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
-# PARTICULAR PURPOSE.
-
- at SET_MAKE@
-VPATH = @srcdir@
-pkgdatadir = $(datadir)/@PACKAGE@
-pkgincludedir = $(includedir)/@PACKAGE@
-pkglibdir = $(libdir)/@PACKAGE@
-pkglibexecdir = $(libexecdir)/@PACKAGE@
-am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
-install_sh_DATA = $(install_sh) -c -m 644
-install_sh_PROGRAM = $(install_sh) -c
-install_sh_SCRIPT = $(install_sh) -c
-INSTALL_HEADER = $(INSTALL_DATA)
-transform = $(program_transform_name)
-NORMAL_INSTALL = :
-PRE_INSTALL = :
-POST_INSTALL = :
-NORMAL_UNINSTALL = :
-PRE_UNINSTALL = :
-POST_UNINSTALL = :
-subdir = .
-DIST_COMMON = README $(am__configure_deps) $(srcdir)/Makefile.am \
-	$(srcdir)/Makefile.in $(srcdir)/config.h.in \
-	$(top_srcdir)/configure AUTHORS COPYING ChangeLog INSTALL NEWS \
-	depcomp install-sh missing
-ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
-am__aclocal_m4_deps = $(top_srcdir)/configure.ac
-am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
-	$(ACLOCAL_M4)
-am__CONFIG_DISTCLEAN_FILES = config.status config.cache config.log \
- configure.lineno config.status.lineno
-mkinstalldirs = $(install_sh) -d
-CONFIG_HEADER = config.h
-CONFIG_CLEAN_FILES =
-CONFIG_CLEAN_VPATH_FILES =
-SOURCES =
-DIST_SOURCES =
-RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
-	html-recursive info-recursive install-data-recursive \
-	install-dvi-recursive install-exec-recursive \
-	install-html-recursive install-info-recursive \
-	install-pdf-recursive install-ps-recursive install-recursive \
-	installcheck-recursive installdirs-recursive pdf-recursive \
-	ps-recursive uninstall-recursive
-RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive	\
-  distclean-recursive maintainer-clean-recursive
-AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \
-	$(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \
-	distdir dist dist-all distcheck
-ETAGS = etags
-CTAGS = ctags
-DIST_SUBDIRS = $(SUBDIRS)
-DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
-distdir = $(PACKAGE)-$(VERSION)
-top_distdir = $(distdir)
-am__remove_distdir = \
-  { test ! -d "$(distdir)" \
-    || { find "$(distdir)" -type d ! -perm -200 -exec chmod u+w {} ';' \
-         && rm -fr "$(distdir)"; }; }
-am__relativize = \
-  dir0=`pwd`; \
-  sed_first='s,^\([^/]*\)/.*$$,\1,'; \
-  sed_rest='s,^[^/]*/*,,'; \
-  sed_last='s,^.*/\([^/]*\)$$,\1,'; \
-  sed_butlast='s,/*[^/]*$$,,'; \
-  while test -n "$$dir1"; do \
-    first=`echo "$$dir1" | sed -e "$$sed_first"`; \
-    if test "$$first" != "."; then \
-      if test "$$first" = ".."; then \
-        dir2=`echo "$$dir0" | sed -e "$$sed_last"`/"$$dir2"; \
-        dir0=`echo "$$dir0" | sed -e "$$sed_butlast"`; \
-      else \
-        first2=`echo "$$dir2" | sed -e "$$sed_first"`; \
-        if test "$$first2" = "$$first"; then \
-          dir2=`echo "$$dir2" | sed -e "$$sed_rest"`; \
-        else \
-          dir2="../$$dir2"; \
-        fi; \
-        dir0="$$dir0"/"$$first"; \
-      fi; \
-    fi; \
-    dir1=`echo "$$dir1" | sed -e "$$sed_rest"`; \
-  done; \
-  reldir="$$dir2"
-DIST_ARCHIVES = $(distdir).tar.gz
-GZIP_ENV = --best
-distuninstallcheck_listfiles = find . -type f -print
-distcleancheck_listfiles = find . -type f -print
-ACLOCAL = @ACLOCAL@
-AMTAR = @AMTAR@
-AUTOCONF = @AUTOCONF@
-AUTOHEADER = @AUTOHEADER@
-AUTOMAKE = @AUTOMAKE@
-AWK = @AWK@
-CPPFLAGS = @CPPFLAGS@
-CXX = @CXX@
-CXXDEPMODE = @CXXDEPMODE@
-CXXFLAGS = @CXXFLAGS@
-CYGPATH_W = @CYGPATH_W@
-DEFS = @DEFS@
-DEPDIR = @DEPDIR@
-ECHO_C = @ECHO_C@
-ECHO_N = @ECHO_N@
-ECHO_T = @ECHO_T@
-EXEEXT = @EXEEXT@
-INSTALL = @INSTALL@
-INSTALL_DATA = @INSTALL_DATA@
-INSTALL_PROGRAM = @INSTALL_PROGRAM@
-INSTALL_SCRIPT = @INSTALL_SCRIPT@
-INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
-LDFLAGS = @LDFLAGS@
-LIBOBJS = @LIBOBJS@
-LIBS = @LIBS@
-LTLIBOBJS = @LTLIBOBJS@
-MAINT = @MAINT@
-MAKEINFO = @MAKEINFO@
-MKDIR_P = @MKDIR_P@
-MODELGEN = @MODELGEN@
-OBJEXT = @OBJEXT@
-PACKAGE = @PACKAGE@
-PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
-PACKAGE_NAME = @PACKAGE_NAME@
-PACKAGE_STRING = @PACKAGE_STRING@
-PACKAGE_TARNAME = @PACKAGE_TARNAME@
-PACKAGE_URL = @PACKAGE_URL@
-PACKAGE_VERSION = @PACKAGE_VERSION@
-PATH_SEPARATOR = @PATH_SEPARATOR@
-SET_MAKE = @SET_MAKE@
-SHELL = @SHELL@
-STRIP = @STRIP@
-VERSION = @VERSION@
-abs_builddir = @abs_builddir@
-abs_srcdir = @abs_srcdir@
-abs_top_builddir = @abs_top_builddir@
-abs_top_srcdir = @abs_top_srcdir@
-ac_ct_CXX = @ac_ct_CXX@
-am__include = @am__include@
-am__leading_dot = @am__leading_dot@
-am__quote = @am__quote@
-am__tar = @am__tar@
-am__untar = @am__untar@
-bindir = @bindir@
-build_alias = @build_alias@
-builddir = @builddir@
-datadir = @datadir@
-datarootdir = @datarootdir@
-docdir = @docdir@
-dvidir = @dvidir@
-exec_prefix = @exec_prefix@
-host_alias = @host_alias@
-htmldir = @htmldir@
-includedir = @includedir@
-infodir = @infodir@
-install_sh = @install_sh@
-libdir = @libdir@
-libexecdir = @libexecdir@
-localedir = @localedir@
-localstatedir = @localstatedir@
-mandir = @mandir@
-mkdir_p = @mkdir_p@
-oldincludedir = @oldincludedir@
-pdfdir = @pdfdir@
-prefix = @prefix@
-program_transform_name = @program_transform_name@
-psdir = @psdir@
-sbindir = @sbindir@
-sharedstatedir = @sharedstatedir@
-srcdir = @srcdir@
-sysconfdir = @sysconfdir@
-target_alias = @target_alias@
-top_build_prefix = @top_build_prefix@
-top_builddir = @top_builddir@
-top_srcdir = @top_srcdir@
-SUBDIRS = doc examples modelgen src
-EXTRA_DIST = autogen.sh Makefile.template configure.old
-all: config.h
-	$(MAKE) $(AM_MAKEFLAGS) all-recursive
-
-.SUFFIXES:
-am--refresh:
-	@:
-$(srcdir)/Makefile.in: @MAINTAINER_MODE_TRUE@ $(srcdir)/Makefile.am  $(am__configure_deps)
-	@for dep in $?; do \
-	  case '$(am__configure_deps)' in \
-	    *$$dep*) \
-	      echo ' cd $(srcdir) && $(AUTOMAKE) --gnu'; \
-	      $(am__cd) $(srcdir) && $(AUTOMAKE) --gnu \
-		&& exit 0; \
-	      exit 1;; \
-	  esac; \
-	done; \
-	echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu Makefile'; \
-	$(am__cd) $(top_srcdir) && \
-	  $(AUTOMAKE) --gnu Makefile
-.PRECIOUS: Makefile
-Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
-	@case '$?' in \
-	  *config.status*) \
-	    echo ' $(SHELL) ./config.status'; \
-	    $(SHELL) ./config.status;; \
-	  *) \
-	    echo ' cd $(top_builddir) && $(SHELL) ./config.status $@ $(am__depfiles_maybe)'; \
-	    cd $(top_builddir) && $(SHELL) ./config.status $@ $(am__depfiles_maybe);; \
-	esac;
-
-$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
-	$(SHELL) ./config.status --recheck
-
-$(top_srcdir)/configure: @MAINTAINER_MODE_TRUE@ $(am__configure_deps)
-	$(am__cd) $(srcdir) && $(AUTOCONF)
-$(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
-	$(am__cd) $(srcdir) && $(ACLOCAL) $(ACLOCAL_AMFLAGS)
-$(am__aclocal_m4_deps):
-
-config.h: stamp-h1
-	@if test ! -f $@; then \
-	  rm -f stamp-h1; \
-	  $(MAKE) $(AM_MAKEFLAGS) stamp-h1; \
-	else :; fi
-
-stamp-h1: $(srcdir)/config.h.in $(top_builddir)/config.status
-	@rm -f stamp-h1
-	cd $(top_builddir) && $(SHELL) ./config.status config.h
-$(srcdir)/config.h.in: @MAINTAINER_MODE_TRUE@ $(am__configure_deps) 
-	($(am__cd) $(top_srcdir) && $(AUTOHEADER))
-	rm -f stamp-h1
-	touch $@
-
-distclean-hdr:
-	-rm -f config.h stamp-h1
-
-# This directory's subdirectories are mostly independent; you can cd
-# into them and run `make' without going through this Makefile.
-# To change the values of `make' variables: instead of editing Makefiles,
-# (1) if the variable is set in `config.status', edit `config.status'
-#     (which will cause the Makefiles to be regenerated when you run `make');
-# (2) otherwise, pass the desired values on the `make' command line.
-$(RECURSIVE_TARGETS):
-	@failcom='exit 1'; \
-	for f in x $$MAKEFLAGS; do \
-	  case $$f in \
-	    *=* | --[!k]*);; \
-	    *k*) failcom='fail=yes';; \
-	  esac; \
-	done; \
-	dot_seen=no; \
-	target=`echo $@ | sed s/-recursive//`; \
-	list='$(SUBDIRS)'; for subdir in $$list; do \
-	  echo "Making $$target in $$subdir"; \
-	  if test "$$subdir" = "."; then \
-	    dot_seen=yes; \
-	    local_target="$$target-am"; \
-	  else \
-	    local_target="$$target"; \
-	  fi; \
-	  ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
-	  || eval $$failcom; \
-	done; \
-	if test "$$dot_seen" = "no"; then \
-	  $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
-	fi; test -z "$$fail"
-
-$(RECURSIVE_CLEAN_TARGETS):
-	@failcom='exit 1'; \
-	for f in x $$MAKEFLAGS; do \
-	  case $$f in \
-	    *=* | --[!k]*);; \
-	    *k*) failcom='fail=yes';; \
-	  esac; \
-	done; \
-	dot_seen=no; \
-	case "$@" in \
-	  distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
-	  *) list='$(SUBDIRS)' ;; \
-	esac; \
-	rev=''; for subdir in $$list; do \
-	  if test "$$subdir" = "."; then :; else \
-	    rev="$$subdir $$rev"; \
-	  fi; \
-	done; \
-	rev="$$rev ."; \
-	target=`echo $@ | sed s/-recursive//`; \
-	for subdir in $$rev; do \
-	  echo "Making $$target in $$subdir"; \
-	  if test "$$subdir" = "."; then \
-	    local_target="$$target-am"; \
-	  else \
-	    local_target="$$target"; \
-	  fi; \
-	  ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
-	  || eval $$failcom; \
-	done && test -z "$$fail"
-tags-recursive:
-	list='$(SUBDIRS)'; for subdir in $$list; do \
-	  test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
-	done
-ctags-recursive:
-	list='$(SUBDIRS)'; for subdir in $$list; do \
-	  test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
-	done
-
-ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
-	list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
-	unique=`for i in $$list; do \
-	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
-	  done | \
-	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
-	      END { if (nonempty) { for (i in files) print i; }; }'`; \
-	mkid -fID $$unique
-tags: TAGS
-
-TAGS: tags-recursive $(HEADERS) $(SOURCES) config.h.in $(TAGS_DEPENDENCIES) \
-		$(TAGS_FILES) $(LISP)
-	set x; \
-	here=`pwd`; \
-	if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
-	  include_option=--etags-include; \
-	  empty_fix=.; \
-	else \
-	  include_option=--include; \
-	  empty_fix=; \
-	fi; \
-	list='$(SUBDIRS)'; for subdir in $$list; do \
-	  if test "$$subdir" = .; then :; else \
-	    test ! -f $$subdir/TAGS || \
-	      set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
-	  fi; \
-	done; \
-	list='$(SOURCES) $(HEADERS) config.h.in $(LISP) $(TAGS_FILES)'; \
-	unique=`for i in $$list; do \
-	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
-	  done | \
-	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
-	      END { if (nonempty) { for (i in files) print i; }; }'`; \
-	shift; \
-	if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
-	  test -n "$$unique" || unique=$$empty_fix; \
-	  if test $$# -gt 0; then \
-	    $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
-	      "$$@" $$unique; \
-	  else \
-	    $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
-	      $$unique; \
-	  fi; \
-	fi
-ctags: CTAGS
-CTAGS: ctags-recursive $(HEADERS) $(SOURCES) config.h.in $(TAGS_DEPENDENCIES) \
-		$(TAGS_FILES) $(LISP)
-	list='$(SOURCES) $(HEADERS) config.h.in $(LISP) $(TAGS_FILES)'; \
-	unique=`for i in $$list; do \
-	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
-	  done | \
-	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
-	      END { if (nonempty) { for (i in files) print i; }; }'`; \
-	test -z "$(CTAGS_ARGS)$$unique" \
-	  || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
-	     $$unique
-
-GTAGS:
-	here=`$(am__cd) $(top_builddir) && pwd` \
-	  && $(am__cd) $(top_srcdir) \
-	  && gtags -i $(GTAGS_ARGS) "$$here"
-
-distclean-tags:
-	-rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
-
-distdir: $(DISTFILES)
-	$(am__remove_distdir)
-	test -d "$(distdir)" || mkdir "$(distdir)"
-	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
-	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
-	list='$(DISTFILES)'; \
-	  dist_files=`for file in $$list; do echo $$file; done | \
-	  sed -e "s|^$$srcdirstrip/||;t" \
-	      -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
-	case $$dist_files in \
-	  */*) $(MKDIR_P) `echo "$$dist_files" | \
-			   sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
-			   sort -u` ;; \
-	esac; \
-	for file in $$dist_files; do \
-	  if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
-	  if test -d $$d/$$file; then \
-	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
-	    if test -d "$(distdir)/$$file"; then \
-	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
-	    fi; \
-	    if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
-	      cp -fpR $(srcdir)/$$file "$(distdir)$$dir" || exit 1; \
-	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
-	    fi; \
-	    cp -fpR $$d/$$file "$(distdir)$$dir" || exit 1; \
-	  else \
-	    test -f "$(distdir)/$$file" \
-	    || cp -p $$d/$$file "$(distdir)/$$file" \
-	    || exit 1; \
-	  fi; \
-	done
-	@list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
-	  if test "$$subdir" = .; then :; else \
-	    test -d "$(distdir)/$$subdir" \
-	    || $(MKDIR_P) "$(distdir)/$$subdir" \
-	    || exit 1; \
-	  fi; \
-	done
-	@list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
-	  if test "$$subdir" = .; then :; else \
-	    dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
-	    $(am__relativize); \
-	    new_distdir=$$reldir; \
-	    dir1=$$subdir; dir2="$(top_distdir)"; \
-	    $(am__relativize); \
-	    new_top_distdir=$$reldir; \
-	    echo " (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) top_distdir="$$new_top_distdir" distdir="$$new_distdir" \\"; \
-	    echo "     am__remove_distdir=: am__skip_length_check=: am__skip_mode_fix=: distdir)"; \
-	    ($(am__cd) $$subdir && \
-	      $(MAKE) $(AM_MAKEFLAGS) \
-	        top_distdir="$$new_top_distdir" \
-	        distdir="$$new_distdir" \
-		am__remove_distdir=: \
-		am__skip_length_check=: \
-		am__skip_mode_fix=: \
-	        distdir) \
-	      || exit 1; \
-	  fi; \
-	done
-	-test -n "$(am__skip_mode_fix)" \
-	|| find "$(distdir)" -type d ! -perm -777 -exec chmod a+rwx {} \; -o \
-	  ! -type d ! -perm -444 -links 1 -exec chmod a+r {} \; -o \
-	  ! -type d ! -perm -400 -exec chmod a+r {} \; -o \
-	  ! -type d ! -perm -444 -exec $(install_sh) -c -m a+r {} {} \; \
-	|| chmod -R a+r "$(distdir)"
-dist-gzip: distdir
-	tardir=$(distdir) && $(am__tar) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).tar.gz
-	$(am__remove_distdir)
-
-dist-bzip2: distdir
-	tardir=$(distdir) && $(am__tar) | bzip2 -9 -c >$(distdir).tar.bz2
-	$(am__remove_distdir)
-
-dist-lzma: distdir
-	tardir=$(distdir) && $(am__tar) | lzma -9 -c >$(distdir).tar.lzma
-	$(am__remove_distdir)
-
-dist-xz: distdir
-	tardir=$(distdir) && $(am__tar) | xz -c >$(distdir).tar.xz
-	$(am__remove_distdir)
-
-dist-tarZ: distdir
-	tardir=$(distdir) && $(am__tar) | compress -c >$(distdir).tar.Z
-	$(am__remove_distdir)
-
-dist-shar: distdir
-	shar $(distdir) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).shar.gz
-	$(am__remove_distdir)
-
-dist-zip: distdir
-	-rm -f $(distdir).zip
-	zip -rq $(distdir).zip $(distdir)
-	$(am__remove_distdir)
-
-dist dist-all: distdir
-	tardir=$(distdir) && $(am__tar) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).tar.gz
-	$(am__remove_distdir)
-
-# This target untars the dist file and tries a VPATH configuration.  Then
-# it guarantees that the distribution is self-contained by making another
-# tarfile.
-distcheck: dist
-	case '$(DIST_ARCHIVES)' in \
-	*.tar.gz*) \
-	  GZIP=$(GZIP_ENV) gunzip -c $(distdir).tar.gz | $(am__untar) ;;\
-	*.tar.bz2*) \
-	  bunzip2 -c $(distdir).tar.bz2 | $(am__untar) ;;\
-	*.tar.lzma*) \
-	  unlzma -c $(distdir).tar.lzma | $(am__untar) ;;\
-	*.tar.xz*) \
-	  xz -dc $(distdir).tar.xz | $(am__untar) ;;\
-	*.tar.Z*) \
-	  uncompress -c $(distdir).tar.Z | $(am__untar) ;;\
-	*.shar.gz*) \
-	  GZIP=$(GZIP_ENV) gunzip -c $(distdir).shar.gz | unshar ;;\
-	*.zip*) \
-	  unzip $(distdir).zip ;;\
-	esac
-	chmod -R a-w $(distdir); chmod a+w $(distdir)
-	mkdir $(distdir)/_build
-	mkdir $(distdir)/_inst
-	chmod a-w $(distdir)
-	test -d $(distdir)/_build || exit 0; \
-	dc_install_base=`$(am__cd) $(distdir)/_inst && pwd | sed -e 's,^[^:\\/]:[\\/],/,'` \
-	  && dc_destdir="$${TMPDIR-/tmp}/am-dc-$$$$/" \
-	  && am__cwd=`pwd` \
-	  && $(am__cd) $(distdir)/_build \
-	  && ../configure --srcdir=.. --prefix="$$dc_install_base" \
-	    $(DISTCHECK_CONFIGURE_FLAGS) \
-	  && $(MAKE) $(AM_MAKEFLAGS) \
-	  && $(MAKE) $(AM_MAKEFLAGS) dvi \
-	  && $(MAKE) $(AM_MAKEFLAGS) check \
-	  && $(MAKE) $(AM_MAKEFLAGS) install \
-	  && $(MAKE) $(AM_MAKEFLAGS) installcheck \
-	  && $(MAKE) $(AM_MAKEFLAGS) uninstall \
-	  && $(MAKE) $(AM_MAKEFLAGS) distuninstallcheck_dir="$$dc_install_base" \
-	        distuninstallcheck \
-	  && chmod -R a-w "$$dc_install_base" \
-	  && ({ \
-	       (cd ../.. && umask 077 && mkdir "$$dc_destdir") \
-	       && $(MAKE) $(AM_MAKEFLAGS) DESTDIR="$$dc_destdir" install \
-	       && $(MAKE) $(AM_MAKEFLAGS) DESTDIR="$$dc_destdir" uninstall \
-	       && $(MAKE) $(AM_MAKEFLAGS) DESTDIR="$$dc_destdir" \
-	            distuninstallcheck_dir="$$dc_destdir" distuninstallcheck; \
-	      } || { rm -rf "$$dc_destdir"; exit 1; }) \
-	  && rm -rf "$$dc_destdir" \
-	  && $(MAKE) $(AM_MAKEFLAGS) dist \
-	  && rm -rf $(DIST_ARCHIVES) \
-	  && $(MAKE) $(AM_MAKEFLAGS) distcleancheck \
-	  && cd "$$am__cwd" \
-	  || exit 1
-	$(am__remove_distdir)
-	@(echo "$(distdir) archives ready for distribution: "; \
-	  list='$(DIST_ARCHIVES)'; for i in $$list; do echo $$i; done) | \
-	  sed -e 1h -e 1s/./=/g -e 1p -e 1x -e '$$p' -e '$$x'
-distuninstallcheck:
-	@$(am__cd) '$(distuninstallcheck_dir)' \
-	&& test `$(distuninstallcheck_listfiles) | wc -l` -le 1 \
-	   || { echo "ERROR: files left after uninstall:" ; \
-	        if test -n "$(DESTDIR)"; then \
-	          echo "  (check DESTDIR support)"; \
-	        fi ; \
-	        $(distuninstallcheck_listfiles) ; \
-	        exit 1; } >&2
-distcleancheck: distclean
-	@if test '$(srcdir)' = . ; then \
-	  echo "ERROR: distcleancheck can only run from a VPATH build" ; \
-	  exit 1 ; \
-	fi
-	@test `$(distcleancheck_listfiles) | wc -l` -eq 0 \
-	  || { echo "ERROR: files left in build directory after distclean:" ; \
-	       $(distcleancheck_listfiles) ; \
-	       exit 1; } >&2
-check-am: all-am
-check: check-recursive
-all-am: Makefile config.h
-installdirs: installdirs-recursive
-installdirs-am:
-install: install-recursive
-install-exec: install-exec-recursive
-install-data: install-data-recursive
-uninstall: uninstall-recursive
-
-install-am: all-am
-	@$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
-
-installcheck: installcheck-recursive
-install-strip:
-	$(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
-	  install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
-	  `test -z '$(STRIP)' || \
-	    echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
-mostlyclean-generic:
-
-clean-generic:
-
-distclean-generic:
-	-test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
-	-test . = "$(srcdir)" || test -z "$(CONFIG_CLEAN_VPATH_FILES)" || rm -f $(CONFIG_CLEAN_VPATH_FILES)
-
-maintainer-clean-generic:
-	@echo "This command is intended for maintainers to use"
-	@echo "it deletes files that may require special tools to rebuild."
-clean: clean-recursive
-
-clean-am: clean-generic mostlyclean-am
-
-distclean: distclean-recursive
-	-rm -f $(am__CONFIG_DISTCLEAN_FILES)
-	-rm -f Makefile
-distclean-am: clean-am distclean-generic distclean-hdr distclean-tags
-
-dvi: dvi-recursive
-
-dvi-am:
-
-html: html-recursive
-
-html-am:
-
-info: info-recursive
-
-info-am:
-
-install-data-am:
-
-install-dvi: install-dvi-recursive
-
-install-dvi-am:
-
-install-exec-am:
-
-install-html: install-html-recursive
-
-install-html-am:
-
-install-info: install-info-recursive
-
-install-info-am:
-
-install-man:
-
-install-pdf: install-pdf-recursive
-
-install-pdf-am:
-
-install-ps: install-ps-recursive
-
-install-ps-am:
-
-installcheck-am:
-
-maintainer-clean: maintainer-clean-recursive
-	-rm -f $(am__CONFIG_DISTCLEAN_FILES)
-	-rm -rf $(top_srcdir)/autom4te.cache
-	-rm -f Makefile
-maintainer-clean-am: distclean-am maintainer-clean-generic
-
-mostlyclean: mostlyclean-recursive
-
-mostlyclean-am: mostlyclean-generic
-
-pdf: pdf-recursive
-
-pdf-am:
-
-ps: ps-recursive
-
-ps-am:
-
-uninstall-am:
-
-.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) all \
-	ctags-recursive install-am install-strip tags-recursive
-
-.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
-	all all-am am--refresh check check-am clean clean-generic \
-	ctags ctags-recursive dist dist-all dist-bzip2 dist-gzip \
-	dist-lzma dist-shar dist-tarZ dist-xz dist-zip distcheck \
-	distclean distclean-generic distclean-hdr distclean-tags \
-	distcleancheck distdir distuninstallcheck dvi dvi-am html \
-	html-am info info-am install install-am install-data \
-	install-data-am install-dvi install-dvi-am install-exec \
-	install-exec-am install-html install-html-am install-info \
-	install-info-am install-man install-pdf install-pdf-am \
-	install-ps install-ps-am install-strip installcheck \
-	installcheck-am installdirs installdirs-am maintainer-clean \
-	maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
-	pdf-am ps ps-am tags tags-recursive uninstall uninstall-am
-
-
-# Tell versions [3.59,3.63) of GNU make to not export all variables.
-# Otherwise a system limit (for SysV at least) may be exceeded.
-.NOEXPORT:
diff --git a/Makefile.template b/Makefile.template
index db4324f..8ce00b2 100644
--- a/Makefile.template
+++ b/Makefile.template
@@ -1,53 +1,131 @@
-#  SDB changed configure and Makefile operation in -*- Makefile -*-
-#  the following way:  The configure script now 
-#  copies the subdir Makefiles over to Makefile.original,
-#  and then uses sed to put the --prefix target into
-#  Makefile.
-#  Use the target "unconfig" to move Makefile.original
-#  back to Makefile.
-
-
-all: modelgen gnucap
-
-modelgen: nothing
-	(cd modelgen; ${MAKE} -k)
-
-gnucap: modelgen
-	(cd src; ${MAKE} -k)
-
-man: nothing
-	(cd man; ${MAKE} -k)
-
-debug:
-	(cd modelgen; make debug)
-	(cd src; make debug)
-
-clean:
-	(cd man; make clean)
-	(cd modelgen; make clean)
-	(cd src; make clean)
-	-rm *~ \#*\#
-
-#  This moves Makefile.original back to Makefile.
-unconfig:
-	(cd modelgen; make unconfig)
-	(cd src; make unconfig)
-#	(cd man; make unconfig)
-
-#  This creates Makefile.original, and then assembles
-#  Makefile.
+#$Id: Makefile.template,v 26.133 2009/11/26 04:58:04 al Exp $ -*- Makefile -*-
+# Copyright (C) 2001 Albert Davis
+# Author: Albert Davis <aldavis at gnu.org>
+#
+# This file is part of "Gnucap", the Gnu Circuit Analysis Package
+#
+# This program is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program; if not, write to the Free Software
+# Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
+# 02110-1301, USA.
+#------------------------------------------------------------------------
+# In most cases you can just type "make" to build it.
+# Generally, you will want "make your-system".
+# Look in this file for the choices.
+# If yours is not here, look for a similar one and copy.
+# The special configurations listed here allow you to do multiple builds
+# from the same source directory, and patch some problems.
+#-----------------------------------------------------------------------------
+# The default is to use the makefile built by "configure"
+default: nothing
+	-mkdir O
+	cat Make1 Make2 ../Make3 Make.depend >O/Makefile
+	(cd O; ${MAKE} -k)
+#-----------------------------------------------------------------------------
+# The most common configuration is g++
+# This should work if it is properly installed
+# and has the proper libraries and headers.
+# It is optimized for speed.  Debugging is off.
+g++: nothing
+	-mkdir O
+	cat Make1 Make2.g++ ../Make3 Make.depend >O/Makefile
+	(cd O; ${MAKE} -k)
+#-----------------------------------------------------------------------------
+# This one makes a "debug" build ...
+# Asserts and some tracing is turned on.
+# It prints a trace when "untested" code is exercised.
+# "Untested" means the regressions don't test it.
+# It doesn't mean TOTALLY untested.
+debug: nothing
+	-mkdir O-DEBUG
+	cat Make1 Make2.Debug ../Make3 Make.depend >O-DEBUG/Makefile
+	(cd O-DEBUG; ${MAKE} -k)
+#-----------------------------------------------------------------------------
+#-----------------------------------------------------------------------------
+# MS Windows using mingw32
+mingw: nothing
+	-mkdir MSW
+	cat Make1 Make2.mingw32 ../Make3 Make.depend >MSW/Makefile
+	(cd MSW; ${MAKE} -k)
+#-----------------------------------------------------------------------------
+tags: nothing
+	cat Make1 Make2 ../Make3 >Make.aux
+	(${MAKE} tags -f Make.aux)
+#-----------------------------------------------------------------------------
+depend: nothing
+	cat Make1 Make2 ../Make3 >Make.aux
+	${MAKE} -f Make.aux depend
+#-----------------------------------------------------------------------------
+checkin: nothing
+	cat Make1 Make2 ../Make3 >Make.aux
+	${MAKE} -f Make.aux checkin
+#-----------------------------------------------------------------------------
+checkout: nothing
+	cat Make1 Make2 ../Make3 >Make.aux
+	${MAKE} -f Make.aux checkout
+#-----------------------------------------------------------------------------
 install: nothing
-	(cd src; make install)
-
-#  This simply erases the executable from the directory
-#  specified in Makefile.
+	${MAKE} default
+	(cd O; ${MAKE} install)
+#-----------------------------------------------------------------------------
 uninstall: nothing
-	(cd src; make uninstall)
-
+	cat Make1 Make2 ../Make3 >Make.aux
+	${MAKE} -f Make.aux uninstall
+#-----------------------------------------------------------------------------
+#  Note that the /usr/local directory is overwritten by configure.
+not_install: nothing
+	if test -d /usr/local/bin; then \
+		cp O/gnucap /usr/local/bin/gnucap; \
+	else \
+		mkdir -p /usr/local/bin && \
+		cp O/gnucap /usr/local/bin/gnucap; \
+	fi
+#-----------------------------------------------------------------------------
+not_uninstall: clean
+	-rm /usr/local/bin/gnucap
+#-----------------------------------------------------------------------------
+unconfig: 
+	rm -f Makefile
+#-----------------------------------------------------------------------------
+date: nothing
+	cat Make1 Make2 ../Make3 >Make.aux
+	${MAKE} -f Make.aux date
+#-----------------------------------------------------------------------------
+header-check:
+	cat Make1 Make2 ../Make3 >Make.aux
+	${MAKE} -f Make.aux header-check
+#-----------------------------------------------------------------------------
 manifest:
-	(cd modelgen; make manifest)
-	(cd src; make manifest)
-#	(cd man; make manifest)
-
+	cat Make1 Make2 ../Make3 >Make.aux
+	${MAKE} -f Make.aux manifest
+#-----------------------------------------------------------------------------
+md5sums:
+	cat Make1 Make2 ../Make3 >Make.aux
+	${MAKE} -f Make.aux md5sums
+#-----------------------------------------------------------------------------
+mostlyclean:
+	cat Make1 Make2 ../Make3 >Make.aux
+	${MAKE} -f Make.aux mostlyclean
+clean:
+	cat Make1 Make2 ../Make3 >Make.aux
+	${MAKE} -f Make.aux clean
+distclean:
+	cat Make1 Make2 ../Make3 >Make.aux
+	${MAKE} -f Make.aux distclean
+maintainer-clean:
+	cat Make1 Make2 ../Make3 >Make.aux
+	${MAKE} -f Make.aux maintainer-clean
+#-----------------------------------------------------------------------------
 nothing:
-
+#-----------------------------------------------------------------------------
+#-----------------------------------------------------------------------------
diff --git a/README b/README
index b6982a0..080daf2 100644
--- a/README
+++ b/README
@@ -1,72 +1,35 @@
-Readme for development snapshot 2007-02-21
+Readme for development snapshot 2013-04-23
 
 This is a development snapshot.  As such, there may be problems due to
 new work.
 
-The most important change here is enhanced plugin support, and the 3
-new model packages, distributed separately.
+This snapshot splits the code into sections "lib", "apps", "main".
 
-Also, commands use the dispatcher, so they can be added as plugins.
+"main" is a simple main program "gnucap" that dynamically links to
+libgnucap.
 
+"lib" is the core library "libgnucap.so", required for all programs in
+the gnucap collection.  This library can also be used with different
+front-ends, possibly in other languages.
 
+"apps" is a set of plugins that are loaded by default.  It builds
+"libgnucap-default-plugins.so".  The ".cc" files here are mostly
+independent of each other.  To add or remove one of them from the
+build, just edit "Make1" and recompile.  Alternatively, any of them
+can be extracted and built separately.
 
-Readme for development snapshot 2006-12-04
+"modelgen" is the old model compiler, which now links to libgnucap.so.
 
-This is a development snapshot.  As such, there may be problems due to
-new work.
-
-New features: "attach" and "detach" commands, for attaching and
-detaching compiled modules.
-
-To use ....
-
-Start with a .model file.
-
-Compile it .....
-
->> gnucap-modelgen my-model.model
-
-.. produces my-model.h amd my-model.cc
-
-Compile that, with "-fPIC -shared" options
-
->> g++  -fPIC -shared  my-model.cc
-
-.. produces a.out  (you could name it with -o ..)
-
-Now, run gnucap
-
->> gnucap
-
-(signs on..)
-
-then you can attach the model..
-
-gnucap> attach ./a.out
-
-gnucap>  
-
-.. now you can use your model!!
-
-
-
-
-This snapshot includes some new verilog code, which is incomplete and
-not ready for anyone but a developer.  You can safely ignore it for
-now.
+Installation (still "make install") installs the parts as expected.
+It also installs the header files in $PREFIX/include/gnucap, to build
+plugins.
 
-It also changes the way models, devices, and functions are dispatched.
-The new version uses a dispatcher object, that is built on start-up,
-based on what modules are linked.  Models, devices, and functions can
-be added simply by linking them in.  There is no need to change
-anything else.  Likewise, if you don't need a model, device, or
-function, and want a version with it missing, just remove it from
-"Make1" and relink.
+Although it does not use autoconf, the configure/make procedure is the
+same as it would be with autoconf.
 
-The latest "stable" version is 0.35.  If you want to help development,
-use this snapshot and tell us what you find.  If you don't want to
-live on the edge, use the stable version.
+Model plugin tarballs have not been updated yet, but have been
+repackaged for date consistency.
 
-If you are making binary packages for distribution, please try this
-version and let me know how it works, but continue to distribute 0.35.
+This snapshot lifts the biggest block that has been getting in the way
+of a stable release.
 
diff --git a/aclocal.m4 b/aclocal.m4
deleted file mode 100644
index 96da3e3..0000000
--- a/aclocal.m4
+++ /dev/null
@@ -1,1003 +0,0 @@
-# generated automatically by aclocal 1.11 -*- Autoconf -*-
-
-# Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004,
-# 2005, 2006, 2007, 2008, 2009  Free Software Foundation, Inc.
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# This program is distributed in the hope that it will be useful,
-# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
-# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
-# PARTICULAR PURPOSE.
-
-m4_ifndef([AC_AUTOCONF_VERSION],
-  [m4_copy([m4_PACKAGE_VERSION], [AC_AUTOCONF_VERSION])])dnl
-m4_if(m4_defn([AC_AUTOCONF_VERSION]), [2.65],,
-[m4_warning([this file was generated for autoconf 2.65.
-You have another version of autoconf.  It may work, but is not guaranteed to.
-If you have problems, you may need to regenerate the build system entirely.
-To do so, use the procedure documented by the package, typically `autoreconf'.])])
-
-# Copyright (C) 2002, 2003, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# AM_AUTOMAKE_VERSION(VERSION)
-# ----------------------------
-# Automake X.Y traces this macro to ensure aclocal.m4 has been
-# generated from the m4 files accompanying Automake X.Y.
-# (This private macro should not be called outside this file.)
-AC_DEFUN([AM_AUTOMAKE_VERSION],
-[am__api_version='1.11'
-dnl Some users find AM_AUTOMAKE_VERSION and mistake it for a way to
-dnl require some minimum version.  Point them to the right macro.
-m4_if([$1], [1.11], [],
-      [AC_FATAL([Do not call $0, use AM_INIT_AUTOMAKE([$1]).])])dnl
-])
-
-# _AM_AUTOCONF_VERSION(VERSION)
-# -----------------------------
-# aclocal traces this macro to find the Autoconf version.
-# This is a private macro too.  Using m4_define simplifies
-# the logic in aclocal, which can simply ignore this definition.
-m4_define([_AM_AUTOCONF_VERSION], [])
-
-# AM_SET_CURRENT_AUTOMAKE_VERSION
-# -------------------------------
-# Call AM_AUTOMAKE_VERSION and AM_AUTOMAKE_VERSION so they can be traced.
-# This function is AC_REQUIREd by AM_INIT_AUTOMAKE.
-AC_DEFUN([AM_SET_CURRENT_AUTOMAKE_VERSION],
-[AM_AUTOMAKE_VERSION([1.11])dnl
-m4_ifndef([AC_AUTOCONF_VERSION],
-  [m4_copy([m4_PACKAGE_VERSION], [AC_AUTOCONF_VERSION])])dnl
-_AM_AUTOCONF_VERSION(m4_defn([AC_AUTOCONF_VERSION]))])
-
-# AM_AUX_DIR_EXPAND                                         -*- Autoconf -*-
-
-# Copyright (C) 2001, 2003, 2005  Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# For projects using AC_CONFIG_AUX_DIR([foo]), Autoconf sets
-# $ac_aux_dir to `$srcdir/foo'.  In other projects, it is set to
-# `$srcdir', `$srcdir/..', or `$srcdir/../..'.
-#
-# Of course, Automake must honor this variable whenever it calls a
-# tool from the auxiliary directory.  The problem is that $srcdir (and
-# therefore $ac_aux_dir as well) can be either absolute or relative,
-# depending on how configure is run.  This is pretty annoying, since
-# it makes $ac_aux_dir quite unusable in subdirectories: in the top
-# source directory, any form will work fine, but in subdirectories a
-# relative path needs to be adjusted first.
-#
-# $ac_aux_dir/missing
-#    fails when called from a subdirectory if $ac_aux_dir is relative
-# $top_srcdir/$ac_aux_dir/missing
-#    fails if $ac_aux_dir is absolute,
-#    fails when called from a subdirectory in a VPATH build with
-#          a relative $ac_aux_dir
-#
-# The reason of the latter failure is that $top_srcdir and $ac_aux_dir
-# are both prefixed by $srcdir.  In an in-source build this is usually
-# harmless because $srcdir is `.', but things will broke when you
-# start a VPATH build or use an absolute $srcdir.
-#
-# So we could use something similar to $top_srcdir/$ac_aux_dir/missing,
-# iff we strip the leading $srcdir from $ac_aux_dir.  That would be:
-#   am_aux_dir='\$(top_srcdir)/'`expr "$ac_aux_dir" : "$srcdir//*\(.*\)"`
-# and then we would define $MISSING as
-#   MISSING="\${SHELL} $am_aux_dir/missing"
-# This will work as long as MISSING is not called from configure, because
-# unfortunately $(top_srcdir) has no meaning in configure.
-# However there are other variables, like CC, which are often used in
-# configure, and could therefore not use this "fixed" $ac_aux_dir.
-#
-# Another solution, used here, is to always expand $ac_aux_dir to an
-# absolute PATH.  The drawback is that using absolute paths prevent a
-# configured tree to be moved without reconfiguration.
-
-AC_DEFUN([AM_AUX_DIR_EXPAND],
-[dnl Rely on autoconf to set up CDPATH properly.
-AC_PREREQ([2.50])dnl
-# expand $ac_aux_dir to an absolute path
-am_aux_dir=`cd $ac_aux_dir && pwd`
-])
-
-# AM_CONDITIONAL                                            -*- Autoconf -*-
-
-# Copyright (C) 1997, 2000, 2001, 2003, 2004, 2005, 2006, 2008
-# Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# serial 9
-
-# AM_CONDITIONAL(NAME, SHELL-CONDITION)
-# -------------------------------------
-# Define a conditional.
-AC_DEFUN([AM_CONDITIONAL],
-[AC_PREREQ(2.52)dnl
- ifelse([$1], [TRUE],  [AC_FATAL([$0: invalid condition: $1])],
-	[$1], [FALSE], [AC_FATAL([$0: invalid condition: $1])])dnl
-AC_SUBST([$1_TRUE])dnl
-AC_SUBST([$1_FALSE])dnl
-_AM_SUBST_NOTMAKE([$1_TRUE])dnl
-_AM_SUBST_NOTMAKE([$1_FALSE])dnl
-m4_define([_AM_COND_VALUE_$1], [$2])dnl
-if $2; then
-  $1_TRUE=
-  $1_FALSE='#'
-else
-  $1_TRUE='#'
-  $1_FALSE=
-fi
-AC_CONFIG_COMMANDS_PRE(
-[if test -z "${$1_TRUE}" && test -z "${$1_FALSE}"; then
-  AC_MSG_ERROR([[conditional "$1" was never defined.
-Usually this means the macro was only invoked conditionally.]])
-fi])])
-
-# Copyright (C) 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2009
-# Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# serial 10
-
-# There are a few dirty hacks below to avoid letting `AC_PROG_CC' be
-# written in clear, in which case automake, when reading aclocal.m4,
-# will think it sees a *use*, and therefore will trigger all it's
-# C support machinery.  Also note that it means that autoscan, seeing
-# CC etc. in the Makefile, will ask for an AC_PROG_CC use...
-
-
-# _AM_DEPENDENCIES(NAME)
-# ----------------------
-# See how the compiler implements dependency checking.
-# NAME is "CC", "CXX", "GCJ", or "OBJC".
-# We try a few techniques and use that to set a single cache variable.
-#
-# We don't AC_REQUIRE the corresponding AC_PROG_CC since the latter was
-# modified to invoke _AM_DEPENDENCIES(CC); we would have a circular
-# dependency, and given that the user is not expected to run this macro,
-# just rely on AC_PROG_CC.
-AC_DEFUN([_AM_DEPENDENCIES],
-[AC_REQUIRE([AM_SET_DEPDIR])dnl
-AC_REQUIRE([AM_OUTPUT_DEPENDENCY_COMMANDS])dnl
-AC_REQUIRE([AM_MAKE_INCLUDE])dnl
-AC_REQUIRE([AM_DEP_TRACK])dnl
-
-ifelse([$1], CC,   [depcc="$CC"   am_compiler_list=],
-       [$1], CXX,  [depcc="$CXX"  am_compiler_list=],
-       [$1], OBJC, [depcc="$OBJC" am_compiler_list='gcc3 gcc'],
-       [$1], UPC,  [depcc="$UPC"  am_compiler_list=],
-       [$1], GCJ,  [depcc="$GCJ"  am_compiler_list='gcc3 gcc'],
-                   [depcc="$$1"   am_compiler_list=])
-
-AC_CACHE_CHECK([dependency style of $depcc],
-               [am_cv_$1_dependencies_compiler_type],
-[if test -z "$AMDEP_TRUE" && test -f "$am_depcomp"; then
-  # We make a subdir and do the tests there.  Otherwise we can end up
-  # making bogus files that we don't know about and never remove.  For
-  # instance it was reported that on HP-UX the gcc test will end up
-  # making a dummy file named `D' -- because `-MD' means `put the output
-  # in D'.
-  mkdir conftest.dir
-  # Copy depcomp to subdir because otherwise we won't find it if we're
-  # using a relative directory.
-  cp "$am_depcomp" conftest.dir
-  cd conftest.dir
-  # We will build objects and dependencies in a subdirectory because
-  # it helps to detect inapplicable dependency modes.  For instance
-  # both Tru64's cc and ICC support -MD to output dependencies as a
-  # side effect of compilation, but ICC will put the dependencies in
-  # the current directory while Tru64 will put them in the object
-  # directory.
-  mkdir sub
-
-  am_cv_$1_dependencies_compiler_type=none
-  if test "$am_compiler_list" = ""; then
-     am_compiler_list=`sed -n ['s/^#*\([a-zA-Z0-9]*\))$/\1/p'] < ./depcomp`
-  fi
-  am__universal=false
-  m4_case([$1], [CC],
-    [case " $depcc " in #(
-     *\ -arch\ *\ -arch\ *) am__universal=true ;;
-     esac],
-    [CXX],
-    [case " $depcc " in #(
-     *\ -arch\ *\ -arch\ *) am__universal=true ;;
-     esac])
-
-  for depmode in $am_compiler_list; do
-    # Setup a source with many dependencies, because some compilers
-    # like to wrap large dependency lists on column 80 (with \), and
-    # we should not choose a depcomp mode which is confused by this.
-    #
-    # We need to recreate these files for each test, as the compiler may
-    # overwrite some of them when testing with obscure command lines.
-    # This happens at least with the AIX C compiler.
-    : > sub/conftest.c
-    for i in 1 2 3 4 5 6; do
-      echo '#include "conftst'$i'.h"' >> sub/conftest.c
-      # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with
-      # Solaris 8's {/usr,}/bin/sh.
-      touch sub/conftst$i.h
-    done
-    echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf
-
-    # We check with `-c' and `-o' for the sake of the "dashmstdout"
-    # mode.  It turns out that the SunPro C++ compiler does not properly
-    # handle `-M -o', and we need to detect this.  Also, some Intel
-    # versions had trouble with output in subdirs
-    am__obj=sub/conftest.${OBJEXT-o}
-    am__minus_obj="-o $am__obj"
-    case $depmode in
-    gcc)
-      # This depmode causes a compiler race in universal mode.
-      test "$am__universal" = false || continue
-      ;;
-    nosideeffect)
-      # after this tag, mechanisms are not by side-effect, so they'll
-      # only be used when explicitly requested
-      if test "x$enable_dependency_tracking" = xyes; then
-	continue
-      else
-	break
-      fi
-      ;;
-    msvisualcpp | msvcmsys)
-      # This compiler won't grok `-c -o', but also, the minuso test has
-      # not run yet.  These depmodes are late enough in the game, and
-      # so weak that their functioning should not be impacted.
-      am__obj=conftest.${OBJEXT-o}
-      am__minus_obj=
-      ;;
-    none) break ;;
-    esac
-    if depmode=$depmode \
-       source=sub/conftest.c object=$am__obj \
-       depfile=sub/conftest.Po tmpdepfile=sub/conftest.TPo \
-       $SHELL ./depcomp $depcc -c $am__minus_obj sub/conftest.c \
-         >/dev/null 2>conftest.err &&
-       grep sub/conftst1.h sub/conftest.Po > /dev/null 2>&1 &&
-       grep sub/conftst6.h sub/conftest.Po > /dev/null 2>&1 &&
-       grep $am__obj sub/conftest.Po > /dev/null 2>&1 &&
-       ${MAKE-make} -s -f confmf > /dev/null 2>&1; then
-      # icc doesn't choke on unknown options, it will just issue warnings
-      # or remarks (even with -Werror).  So we grep stderr for any message
-      # that says an option was ignored or not supported.
-      # When given -MP, icc 7.0 and 7.1 complain thusly:
-      #   icc: Command line warning: ignoring option '-M'; no argument required
-      # The diagnosis changed in icc 8.0:
-      #   icc: Command line remark: option '-MP' not supported
-      if (grep 'ignoring option' conftest.err ||
-          grep 'not supported' conftest.err) >/dev/null 2>&1; then :; else
-        am_cv_$1_dependencies_compiler_type=$depmode
-        break
-      fi
-    fi
-  done
-
-  cd ..
-  rm -rf conftest.dir
-else
-  am_cv_$1_dependencies_compiler_type=none
-fi
-])
-AC_SUBST([$1DEPMODE], [depmode=$am_cv_$1_dependencies_compiler_type])
-AM_CONDITIONAL([am__fastdep$1], [
-  test "x$enable_dependency_tracking" != xno \
-  && test "$am_cv_$1_dependencies_compiler_type" = gcc3])
-])
-
-
-# AM_SET_DEPDIR
-# -------------
-# Choose a directory name for dependency files.
-# This macro is AC_REQUIREd in _AM_DEPENDENCIES
-AC_DEFUN([AM_SET_DEPDIR],
-[AC_REQUIRE([AM_SET_LEADING_DOT])dnl
-AC_SUBST([DEPDIR], ["${am__leading_dot}deps"])dnl
-])
-
-
-# AM_DEP_TRACK
-# ------------
-AC_DEFUN([AM_DEP_TRACK],
-[AC_ARG_ENABLE(dependency-tracking,
-[  --disable-dependency-tracking  speeds up one-time build
-  --enable-dependency-tracking   do not reject slow dependency extractors])
-if test "x$enable_dependency_tracking" != xno; then
-  am_depcomp="$ac_aux_dir/depcomp"
-  AMDEPBACKSLASH='\'
-fi
-AM_CONDITIONAL([AMDEP], [test "x$enable_dependency_tracking" != xno])
-AC_SUBST([AMDEPBACKSLASH])dnl
-_AM_SUBST_NOTMAKE([AMDEPBACKSLASH])dnl
-])
-
-# Generate code to set up dependency tracking.              -*- Autoconf -*-
-
-# Copyright (C) 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2008
-# Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-#serial 5
-
-# _AM_OUTPUT_DEPENDENCY_COMMANDS
-# ------------------------------
-AC_DEFUN([_AM_OUTPUT_DEPENDENCY_COMMANDS],
-[{
-  # Autoconf 2.62 quotes --file arguments for eval, but not when files
-  # are listed without --file.  Let's play safe and only enable the eval
-  # if we detect the quoting.
-  case $CONFIG_FILES in
-  *\'*) eval set x "$CONFIG_FILES" ;;
-  *)   set x $CONFIG_FILES ;;
-  esac
-  shift
-  for mf
-  do
-    # Strip MF so we end up with the name of the file.
-    mf=`echo "$mf" | sed -e 's/:.*$//'`
-    # Check whether this is an Automake generated Makefile or not.
-    # We used to match only the files named `Makefile.in', but
-    # some people rename them; so instead we look at the file content.
-    # Grep'ing the first line is not enough: some people post-process
-    # each Makefile.in and add a new line on top of each file to say so.
-    # Grep'ing the whole file is not good either: AIX grep has a line
-    # limit of 2048, but all sed's we know have understand at least 4000.
-    if sed -n 's,^#.*generated by automake.*,X,p' "$mf" | grep X >/dev/null 2>&1; then
-      dirpart=`AS_DIRNAME("$mf")`
-    else
-      continue
-    fi
-    # Extract the definition of DEPDIR, am__include, and am__quote
-    # from the Makefile without running `make'.
-    DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"`
-    test -z "$DEPDIR" && continue
-    am__include=`sed -n 's/^am__include = //p' < "$mf"`
-    test -z "am__include" && continue
-    am__quote=`sed -n 's/^am__quote = //p' < "$mf"`
-    # When using ansi2knr, U may be empty or an underscore; expand it
-    U=`sed -n 's/^U = //p' < "$mf"`
-    # Find all dependency output files, they are included files with
-    # $(DEPDIR) in their names.  We invoke sed twice because it is the
-    # simplest approach to changing $(DEPDIR) to its actual value in the
-    # expansion.
-    for file in `sed -n "
-      s/^$am__include $am__quote\(.*(DEPDIR).*\)$am__quote"'$/\1/p' <"$mf" | \
-	 sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g' -e 's/\$U/'"$U"'/g'`; do
-      # Make sure the directory exists.
-      test -f "$dirpart/$file" && continue
-      fdir=`AS_DIRNAME(["$file"])`
-      AS_MKDIR_P([$dirpart/$fdir])
-      # echo "creating $dirpart/$file"
-      echo '# dummy' > "$dirpart/$file"
-    done
-  done
-}
-])# _AM_OUTPUT_DEPENDENCY_COMMANDS
-
-
-# AM_OUTPUT_DEPENDENCY_COMMANDS
-# -----------------------------
-# This macro should only be invoked once -- use via AC_REQUIRE.
-#
-# This code is only required when automatic dependency tracking
-# is enabled.  FIXME.  This creates each `.P' file that we will
-# need in order to bootstrap the dependency handling code.
-AC_DEFUN([AM_OUTPUT_DEPENDENCY_COMMANDS],
-[AC_CONFIG_COMMANDS([depfiles],
-     [test x"$AMDEP_TRUE" != x"" || _AM_OUTPUT_DEPENDENCY_COMMANDS],
-     [AMDEP_TRUE="$AMDEP_TRUE" ac_aux_dir="$ac_aux_dir"])
-])
-
-# Copyright (C) 1996, 1997, 2000, 2001, 2003, 2005
-# Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# serial 8
-
-# AM_CONFIG_HEADER is obsolete.  It has been replaced by AC_CONFIG_HEADERS.
-AU_DEFUN([AM_CONFIG_HEADER], [AC_CONFIG_HEADERS($@)])
-
-# Do all the work for Automake.                             -*- Autoconf -*-
-
-# Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004,
-# 2005, 2006, 2008, 2009 Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# serial 16
-
-# This macro actually does too much.  Some checks are only needed if
-# your package does certain things.  But this isn't really a big deal.
-
-# AM_INIT_AUTOMAKE(PACKAGE, VERSION, [NO-DEFINE])
-# AM_INIT_AUTOMAKE([OPTIONS])
-# -----------------------------------------------
-# The call with PACKAGE and VERSION arguments is the old style
-# call (pre autoconf-2.50), which is being phased out.  PACKAGE
-# and VERSION should now be passed to AC_INIT and removed from
-# the call to AM_INIT_AUTOMAKE.
-# We support both call styles for the transition.  After
-# the next Automake release, Autoconf can make the AC_INIT
-# arguments mandatory, and then we can depend on a new Autoconf
-# release and drop the old call support.
-AC_DEFUN([AM_INIT_AUTOMAKE],
-[AC_PREREQ([2.62])dnl
-dnl Autoconf wants to disallow AM_ names.  We explicitly allow
-dnl the ones we care about.
-m4_pattern_allow([^AM_[A-Z]+FLAGS$])dnl
-AC_REQUIRE([AM_SET_CURRENT_AUTOMAKE_VERSION])dnl
-AC_REQUIRE([AC_PROG_INSTALL])dnl
-if test "`cd $srcdir && pwd`" != "`pwd`"; then
-  # Use -I$(srcdir) only when $(srcdir) != ., so that make's output
-  # is not polluted with repeated "-I."
-  AC_SUBST([am__isrc], [' -I$(srcdir)'])_AM_SUBST_NOTMAKE([am__isrc])dnl
-  # test to see if srcdir already configured
-  if test -f $srcdir/config.status; then
-    AC_MSG_ERROR([source directory already configured; run "make distclean" there first])
-  fi
-fi
-
-# test whether we have cygpath
-if test -z "$CYGPATH_W"; then
-  if (cygpath --version) >/dev/null 2>/dev/null; then
-    CYGPATH_W='cygpath -w'
-  else
-    CYGPATH_W=echo
-  fi
-fi
-AC_SUBST([CYGPATH_W])
-
-# Define the identity of the package.
-dnl Distinguish between old-style and new-style calls.
-m4_ifval([$2],
-[m4_ifval([$3], [_AM_SET_OPTION([no-define])])dnl
- AC_SUBST([PACKAGE], [$1])dnl
- AC_SUBST([VERSION], [$2])],
-[_AM_SET_OPTIONS([$1])dnl
-dnl Diagnose old-style AC_INIT with new-style AM_AUTOMAKE_INIT.
-m4_if(m4_ifdef([AC_PACKAGE_NAME], 1)m4_ifdef([AC_PACKAGE_VERSION], 1), 11,,
-  [m4_fatal([AC_INIT should be called with package and version arguments])])dnl
- AC_SUBST([PACKAGE], ['AC_PACKAGE_TARNAME'])dnl
- AC_SUBST([VERSION], ['AC_PACKAGE_VERSION'])])dnl
-
-_AM_IF_OPTION([no-define],,
-[AC_DEFINE_UNQUOTED(PACKAGE, "$PACKAGE", [Name of package])
- AC_DEFINE_UNQUOTED(VERSION, "$VERSION", [Version number of package])])dnl
-
-# Some tools Automake needs.
-AC_REQUIRE([AM_SANITY_CHECK])dnl
-AC_REQUIRE([AC_ARG_PROGRAM])dnl
-AM_MISSING_PROG(ACLOCAL, aclocal-${am__api_version})
-AM_MISSING_PROG(AUTOCONF, autoconf)
-AM_MISSING_PROG(AUTOMAKE, automake-${am__api_version})
-AM_MISSING_PROG(AUTOHEADER, autoheader)
-AM_MISSING_PROG(MAKEINFO, makeinfo)
-AC_REQUIRE([AM_PROG_INSTALL_SH])dnl
-AC_REQUIRE([AM_PROG_INSTALL_STRIP])dnl
-AC_REQUIRE([AM_PROG_MKDIR_P])dnl
-# We need awk for the "check" target.  The system "awk" is bad on
-# some platforms.
-AC_REQUIRE([AC_PROG_AWK])dnl
-AC_REQUIRE([AC_PROG_MAKE_SET])dnl
-AC_REQUIRE([AM_SET_LEADING_DOT])dnl
-_AM_IF_OPTION([tar-ustar], [_AM_PROG_TAR([ustar])],
-	      [_AM_IF_OPTION([tar-pax], [_AM_PROG_TAR([pax])],
-			     [_AM_PROG_TAR([v7])])])
-_AM_IF_OPTION([no-dependencies],,
-[AC_PROVIDE_IFELSE([AC_PROG_CC],
-		  [_AM_DEPENDENCIES(CC)],
-		  [define([AC_PROG_CC],
-			  defn([AC_PROG_CC])[_AM_DEPENDENCIES(CC)])])dnl
-AC_PROVIDE_IFELSE([AC_PROG_CXX],
-		  [_AM_DEPENDENCIES(CXX)],
-		  [define([AC_PROG_CXX],
-			  defn([AC_PROG_CXX])[_AM_DEPENDENCIES(CXX)])])dnl
-AC_PROVIDE_IFELSE([AC_PROG_OBJC],
-		  [_AM_DEPENDENCIES(OBJC)],
-		  [define([AC_PROG_OBJC],
-			  defn([AC_PROG_OBJC])[_AM_DEPENDENCIES(OBJC)])])dnl
-])
-_AM_IF_OPTION([silent-rules], [AC_REQUIRE([AM_SILENT_RULES])])dnl
-dnl The `parallel-tests' driver may need to know about EXEEXT, so add the
-dnl `am__EXEEXT' conditional if _AM_COMPILER_EXEEXT was seen.  This macro
-dnl is hooked onto _AC_COMPILER_EXEEXT early, see below.
-AC_CONFIG_COMMANDS_PRE(dnl
-[m4_provide_if([_AM_COMPILER_EXEEXT],
-  [AM_CONDITIONAL([am__EXEEXT], [test -n "$EXEEXT"])])])dnl
-])
-
-dnl Hook into `_AC_COMPILER_EXEEXT' early to learn its expansion.  Do not
-dnl add the conditional right here, as _AC_COMPILER_EXEEXT may be further
-dnl mangled by Autoconf and run in a shell conditional statement.
-m4_define([_AC_COMPILER_EXEEXT],
-m4_defn([_AC_COMPILER_EXEEXT])[m4_provide([_AM_COMPILER_EXEEXT])])
-
-
-# When config.status generates a header, we must update the stamp-h file.
-# This file resides in the same directory as the config header
-# that is generated.  The stamp files are numbered to have different names.
-
-# Autoconf calls _AC_AM_CONFIG_HEADER_HOOK (when defined) in the
-# loop where config.status creates the headers, so we can generate
-# our stamp files there.
-AC_DEFUN([_AC_AM_CONFIG_HEADER_HOOK],
-[# Compute $1's index in $config_headers.
-_am_arg=$1
-_am_stamp_count=1
-for _am_header in $config_headers :; do
-  case $_am_header in
-    $_am_arg | $_am_arg:* )
-      break ;;
-    * )
-      _am_stamp_count=`expr $_am_stamp_count + 1` ;;
-  esac
-done
-echo "timestamp for $_am_arg" >`AS_DIRNAME(["$_am_arg"])`/stamp-h[]$_am_stamp_count])
-
-# Copyright (C) 2001, 2003, 2005, 2008  Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# AM_PROG_INSTALL_SH
-# ------------------
-# Define $install_sh.
-AC_DEFUN([AM_PROG_INSTALL_SH],
-[AC_REQUIRE([AM_AUX_DIR_EXPAND])dnl
-if test x"${install_sh}" != xset; then
-  case $am_aux_dir in
-  *\ * | *\	*)
-    install_sh="\${SHELL} '$am_aux_dir/install-sh'" ;;
-  *)
-    install_sh="\${SHELL} $am_aux_dir/install-sh"
-  esac
-fi
-AC_SUBST(install_sh)])
-
-# Copyright (C) 2003, 2005  Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# serial 2
-
-# Check whether the underlying file-system supports filenames
-# with a leading dot.  For instance MS-DOS doesn't.
-AC_DEFUN([AM_SET_LEADING_DOT],
-[rm -rf .tst 2>/dev/null
-mkdir .tst 2>/dev/null
-if test -d .tst; then
-  am__leading_dot=.
-else
-  am__leading_dot=_
-fi
-rmdir .tst 2>/dev/null
-AC_SUBST([am__leading_dot])])
-
-# Add --enable-maintainer-mode option to configure.         -*- Autoconf -*-
-# From Jim Meyering
-
-# Copyright (C) 1996, 1998, 2000, 2001, 2002, 2003, 2004, 2005, 2008
-# Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# serial 5
-
-# AM_MAINTAINER_MODE([DEFAULT-MODE])
-# ----------------------------------
-# Control maintainer-specific portions of Makefiles.
-# Default is to disable them, unless `enable' is passed literally.
-# For symmetry, `disable' may be passed as well.  Anyway, the user
-# can override the default with the --enable/--disable switch.
-AC_DEFUN([AM_MAINTAINER_MODE],
-[m4_case(m4_default([$1], [disable]),
-       [enable], [m4_define([am_maintainer_other], [disable])],
-       [disable], [m4_define([am_maintainer_other], [enable])],
-       [m4_define([am_maintainer_other], [enable])
-        m4_warn([syntax], [unexpected argument to AM@&t at _MAINTAINER_MODE: $1])])
-AC_MSG_CHECKING([whether to am_maintainer_other maintainer-specific portions of Makefiles])
-  dnl maintainer-mode's default is 'disable' unless 'enable' is passed
-  AC_ARG_ENABLE([maintainer-mode],
-[  --][am_maintainer_other][-maintainer-mode  am_maintainer_other make rules and dependencies not useful
-			  (and sometimes confusing) to the casual installer],
-      [USE_MAINTAINER_MODE=$enableval],
-      [USE_MAINTAINER_MODE=]m4_if(am_maintainer_other, [enable], [no], [yes]))
-  AC_MSG_RESULT([$USE_MAINTAINER_MODE])
-  AM_CONDITIONAL([MAINTAINER_MODE], [test $USE_MAINTAINER_MODE = yes])
-  MAINT=$MAINTAINER_MODE_TRUE
-  AC_SUBST([MAINT])dnl
-]
-)
-
-AU_DEFUN([jm_MAINTAINER_MODE], [AM_MAINTAINER_MODE])
-
-# Check to see how 'make' treats includes.	            -*- Autoconf -*-
-
-# Copyright (C) 2001, 2002, 2003, 2005, 2009  Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# serial 4
-
-# AM_MAKE_INCLUDE()
-# -----------------
-# Check to see how make treats includes.
-AC_DEFUN([AM_MAKE_INCLUDE],
-[am_make=${MAKE-make}
-cat > confinc << 'END'
-am__doit:
-	@echo this is the am__doit target
-.PHONY: am__doit
-END
-# If we don't find an include directive, just comment out the code.
-AC_MSG_CHECKING([for style of include used by $am_make])
-am__include="#"
-am__quote=
-_am_result=none
-# First try GNU make style include.
-echo "include confinc" > confmf
-# Ignore all kinds of additional output from `make'.
-case `$am_make -s -f confmf 2> /dev/null` in #(
-*the\ am__doit\ target*)
-  am__include=include
-  am__quote=
-  _am_result=GNU
-  ;;
-esac
-# Now try BSD make style include.
-if test "$am__include" = "#"; then
-   echo '.include "confinc"' > confmf
-   case `$am_make -s -f confmf 2> /dev/null` in #(
-   *the\ am__doit\ target*)
-     am__include=.include
-     am__quote="\""
-     _am_result=BSD
-     ;;
-   esac
-fi
-AC_SUBST([am__include])
-AC_SUBST([am__quote])
-AC_MSG_RESULT([$_am_result])
-rm -f confinc confmf
-])
-
-# Fake the existence of programs that GNU maintainers use.  -*- Autoconf -*-
-
-# Copyright (C) 1997, 1999, 2000, 2001, 2003, 2004, 2005, 2008
-# Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# serial 6
-
-# AM_MISSING_PROG(NAME, PROGRAM)
-# ------------------------------
-AC_DEFUN([AM_MISSING_PROG],
-[AC_REQUIRE([AM_MISSING_HAS_RUN])
-$1=${$1-"${am_missing_run}$2"}
-AC_SUBST($1)])
-
-
-# AM_MISSING_HAS_RUN
-# ------------------
-# Define MISSING if not defined so far and test if it supports --run.
-# If it does, set am_missing_run to use it, otherwise, to nothing.
-AC_DEFUN([AM_MISSING_HAS_RUN],
-[AC_REQUIRE([AM_AUX_DIR_EXPAND])dnl
-AC_REQUIRE_AUX_FILE([missing])dnl
-if test x"${MISSING+set}" != xset; then
-  case $am_aux_dir in
-  *\ * | *\	*)
-    MISSING="\${SHELL} \"$am_aux_dir/missing\"" ;;
-  *)
-    MISSING="\${SHELL} $am_aux_dir/missing" ;;
-  esac
-fi
-# Use eval to expand $SHELL
-if eval "$MISSING --run true"; then
-  am_missing_run="$MISSING --run "
-else
-  am_missing_run=
-  AC_MSG_WARN([`missing' script is too old or missing])
-fi
-])
-
-# Copyright (C) 2003, 2004, 2005, 2006  Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# AM_PROG_MKDIR_P
-# ---------------
-# Check for `mkdir -p'.
-AC_DEFUN([AM_PROG_MKDIR_P],
-[AC_PREREQ([2.60])dnl
-AC_REQUIRE([AC_PROG_MKDIR_P])dnl
-dnl Automake 1.8 to 1.9.6 used to define mkdir_p.  We now use MKDIR_P,
-dnl while keeping a definition of mkdir_p for backward compatibility.
-dnl @MKDIR_P@ is magic: AC_OUTPUT adjusts its value for each Makefile.
-dnl However we cannot define mkdir_p as $(MKDIR_P) for the sake of
-dnl Makefile.ins that do not define MKDIR_P, so we do our own
-dnl adjustment using top_builddir (which is defined more often than
-dnl MKDIR_P).
-AC_SUBST([mkdir_p], ["$MKDIR_P"])dnl
-case $mkdir_p in
-  [[\\/$]]* | ?:[[\\/]]*) ;;
-  */*) mkdir_p="\$(top_builddir)/$mkdir_p" ;;
-esac
-])
-
-# Helper functions for option handling.                     -*- Autoconf -*-
-
-# Copyright (C) 2001, 2002, 2003, 2005, 2008  Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# serial 4
-
-# _AM_MANGLE_OPTION(NAME)
-# -----------------------
-AC_DEFUN([_AM_MANGLE_OPTION],
-[[_AM_OPTION_]m4_bpatsubst($1, [[^a-zA-Z0-9_]], [_])])
-
-# _AM_SET_OPTION(NAME)
-# ------------------------------
-# Set option NAME.  Presently that only means defining a flag for this option.
-AC_DEFUN([_AM_SET_OPTION],
-[m4_define(_AM_MANGLE_OPTION([$1]), 1)])
-
-# _AM_SET_OPTIONS(OPTIONS)
-# ----------------------------------
-# OPTIONS is a space-separated list of Automake options.
-AC_DEFUN([_AM_SET_OPTIONS],
-[m4_foreach_w([_AM_Option], [$1], [_AM_SET_OPTION(_AM_Option)])])
-
-# _AM_IF_OPTION(OPTION, IF-SET, [IF-NOT-SET])
-# -------------------------------------------
-# Execute IF-SET if OPTION is set, IF-NOT-SET otherwise.
-AC_DEFUN([_AM_IF_OPTION],
-[m4_ifset(_AM_MANGLE_OPTION([$1]), [$2], [$3])])
-
-# Check to make sure that the build environment is sane.    -*- Autoconf -*-
-
-# Copyright (C) 1996, 1997, 2000, 2001, 2003, 2005, 2008
-# Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# serial 5
-
-# AM_SANITY_CHECK
-# ---------------
-AC_DEFUN([AM_SANITY_CHECK],
-[AC_MSG_CHECKING([whether build environment is sane])
-# Just in case
-sleep 1
-echo timestamp > conftest.file
-# Reject unsafe characters in $srcdir or the absolute working directory
-# name.  Accept space and tab only in the latter.
-am_lf='
-'
-case `pwd` in
-  *[[\\\"\#\$\&\'\`$am_lf]]*)
-    AC_MSG_ERROR([unsafe absolute working directory name]);;
-esac
-case $srcdir in
-  *[[\\\"\#\$\&\'\`$am_lf\ \	]]*)
-    AC_MSG_ERROR([unsafe srcdir value: `$srcdir']);;
-esac
-
-# Do `set' in a subshell so we don't clobber the current shell's
-# arguments.  Must try -L first in case configure is actually a
-# symlink; some systems play weird games with the mod time of symlinks
-# (eg FreeBSD returns the mod time of the symlink's containing
-# directory).
-if (
-   set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null`
-   if test "$[*]" = "X"; then
-      # -L didn't work.
-      set X `ls -t "$srcdir/configure" conftest.file`
-   fi
-   rm -f conftest.file
-   if test "$[*]" != "X $srcdir/configure conftest.file" \
-      && test "$[*]" != "X conftest.file $srcdir/configure"; then
-
-      # If neither matched, then we have a broken ls.  This can happen
-      # if, for instance, CONFIG_SHELL is bash and it inherits a
-      # broken ls alias from the environment.  This has actually
-      # happened.  Such a system could not be considered "sane".
-      AC_MSG_ERROR([ls -t appears to fail.  Make sure there is not a broken
-alias in your environment])
-   fi
-
-   test "$[2]" = conftest.file
-   )
-then
-   # Ok.
-   :
-else
-   AC_MSG_ERROR([newly created file is older than distributed files!
-Check your system clock])
-fi
-AC_MSG_RESULT(yes)])
-
-# Copyright (C) 2001, 2003, 2005  Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# AM_PROG_INSTALL_STRIP
-# ---------------------
-# One issue with vendor `install' (even GNU) is that you can't
-# specify the program used to strip binaries.  This is especially
-# annoying in cross-compiling environments, where the build's strip
-# is unlikely to handle the host's binaries.
-# Fortunately install-sh will honor a STRIPPROG variable, so we
-# always use install-sh in `make install-strip', and initialize
-# STRIPPROG with the value of the STRIP variable (set by the user).
-AC_DEFUN([AM_PROG_INSTALL_STRIP],
-[AC_REQUIRE([AM_PROG_INSTALL_SH])dnl
-# Installed binaries are usually stripped using `strip' when the user
-# run `make install-strip'.  However `strip' might not be the right
-# tool to use in cross-compilation environments, therefore Automake
-# will honor the `STRIP' environment variable to overrule this program.
-dnl Don't test for $cross_compiling = yes, because it might be `maybe'.
-if test "$cross_compiling" != no; then
-  AC_CHECK_TOOL([STRIP], [strip], :)
-fi
-INSTALL_STRIP_PROGRAM="\$(install_sh) -c -s"
-AC_SUBST([INSTALL_STRIP_PROGRAM])])
-
-# Copyright (C) 2006, 2008  Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# serial 2
-
-# _AM_SUBST_NOTMAKE(VARIABLE)
-# ---------------------------
-# Prevent Automake from outputting VARIABLE = @VARIABLE@ in Makefile.in.
-# This macro is traced by Automake.
-AC_DEFUN([_AM_SUBST_NOTMAKE])
-
-# AM_SUBST_NOTMAKE(VARIABLE)
-# ---------------------------
-# Public sister of _AM_SUBST_NOTMAKE.
-AC_DEFUN([AM_SUBST_NOTMAKE], [_AM_SUBST_NOTMAKE($@)])
-
-# Check how to create a tarball.                            -*- Autoconf -*-
-
-# Copyright (C) 2004, 2005  Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# serial 2
-
-# _AM_PROG_TAR(FORMAT)
-# --------------------
-# Check how to create a tarball in format FORMAT.
-# FORMAT should be one of `v7', `ustar', or `pax'.
-#
-# Substitute a variable $(am__tar) that is a command
-# writing to stdout a FORMAT-tarball containing the directory
-# $tardir.
-#     tardir=directory && $(am__tar) > result.tar
-#
-# Substitute a variable $(am__untar) that extract such
-# a tarball read from stdin.
-#     $(am__untar) < result.tar
-AC_DEFUN([_AM_PROG_TAR],
-[# Always define AMTAR for backward compatibility.
-AM_MISSING_PROG([AMTAR], [tar])
-m4_if([$1], [v7],
-     [am__tar='${AMTAR} chof - "$$tardir"'; am__untar='${AMTAR} xf -'],
-     [m4_case([$1], [ustar],, [pax],,
-              [m4_fatal([Unknown tar format])])
-AC_MSG_CHECKING([how to create a $1 tar archive])
-# Loop over all known methods to create a tar archive until one works.
-_am_tools='gnutar m4_if([$1], [ustar], [plaintar]) pax cpio none'
-_am_tools=${am_cv_prog_tar_$1-$_am_tools}
-# Do not fold the above two line into one, because Tru64 sh and
-# Solaris sh will not grok spaces in the rhs of `-'.
-for _am_tool in $_am_tools
-do
-  case $_am_tool in
-  gnutar)
-    for _am_tar in tar gnutar gtar;
-    do
-      AM_RUN_LOG([$_am_tar --version]) && break
-    done
-    am__tar="$_am_tar --format=m4_if([$1], [pax], [posix], [$1]) -chf - "'"$$tardir"'
-    am__tar_="$_am_tar --format=m4_if([$1], [pax], [posix], [$1]) -chf - "'"$tardir"'
-    am__untar="$_am_tar -xf -"
-    ;;
-  plaintar)
-    # Must skip GNU tar: if it does not support --format= it doesn't create
-    # ustar tarball either.
-    (tar --version) >/dev/null 2>&1 && continue
-    am__tar='tar chf - "$$tardir"'
-    am__tar_='tar chf - "$tardir"'
-    am__untar='tar xf -'
-    ;;
-  pax)
-    am__tar='pax -L -x $1 -w "$$tardir"'
-    am__tar_='pax -L -x $1 -w "$tardir"'
-    am__untar='pax -r'
-    ;;
-  cpio)
-    am__tar='find "$$tardir" -print | cpio -o -H $1 -L'
-    am__tar_='find "$tardir" -print | cpio -o -H $1 -L'
-    am__untar='cpio -i -H $1 -d'
-    ;;
-  none)
-    am__tar=false
-    am__tar_=false
-    am__untar=false
-    ;;
-  esac
-
-  # If the value was cached, stop now.  We just wanted to have am__tar
-  # and am__untar set.
-  test -n "${am_cv_prog_tar_$1}" && break
-
-  # tar/untar a dummy directory, and stop if the command works
-  rm -rf conftest.dir
-  mkdir conftest.dir
-  echo GrepMe > conftest.dir/file
-  AM_RUN_LOG([tardir=conftest.dir && eval $am__tar_ >conftest.tar])
-  rm -rf conftest.dir
-  if test -s conftest.tar; then
-    AM_RUN_LOG([$am__untar <conftest.tar])
-    grep GrepMe conftest.dir/file >/dev/null 2>&1 && break
-  fi
-done
-rm -rf conftest.dir
-
-AC_CACHE_VAL([am_cv_prog_tar_$1], [am_cv_prog_tar_$1=$_am_tool])
-AC_MSG_RESULT([$am_cv_prog_tar_$1])])
-AC_SUBST([am__tar])
-AC_SUBST([am__untar])
-]) # _AM_PROG_TAR
-
diff --git a/apps/Make.depend b/apps/Make.depend
new file mode 100644
index 0000000..a7ff4a6
--- /dev/null
+++ b/apps/Make.depend
@@ -0,0 +1,749 @@
+d_mos1.o: d_mos1.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h d_mos1.h d_mos123.h d_mos_base.h d_mos.h d_diode.h \
+ ../include/u_sdp.h ../include/e_node.h ../include/e_subckt.h \
+ ../include/e_model.h
+d_mos2.o: d_mos2.cc ../include/l_compar.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/l_denoise.h \
+ ../include/u_opt.h ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/globals.h ../include/l_dispatcher.h ../include/l_stlextra.h \
+ ../include/ap.h ../include/e_elemnt.h ../include/e_node.h \
+ ../include/u_sim_data.h ../include/constant.h ../include/l_compar.h \
+ ../include/m_matrix.h ../include/e_base.h ../include/m_cpoly.h \
+ ../include/l_denoise.h ../include/e_compon.h ../include/u_time_pair.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/e_cardlist.h ../include/e_card.h d_mos2.h d_mos123.h \
+ d_mos_base.h d_mos.h d_diode.h ../include/u_sdp.h ../include/e_node.h \
+ ../include/e_subckt.h ../include/e_model.h
+d_mos3.o: d_mos3.cc ../include/l_denoise.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h d_mos3.h d_mos123.h d_mos_base.h d_mos.h d_diode.h \
+ ../include/u_sdp.h ../include/e_node.h ../include/e_subckt.h \
+ ../include/e_model.h
+d_mos4.o: d_mos4.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h d_mos4.h d_mos_base.h d_mos.h d_diode.h \
+ ../include/u_sdp.h ../include/e_node.h ../include/e_subckt.h \
+ ../include/e_model.h
+d_mos5.o: d_mos5.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h d_mos5.h d_mos_base.h d_mos.h d_diode.h \
+ ../include/u_sdp.h ../include/e_node.h ../include/e_subckt.h \
+ ../include/e_model.h
+d_mos6.o: d_mos6.cc ../include/l_denoise.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h d_mos6.h d_mos123.h d_mos_base.h d_mos.h d_diode.h \
+ ../include/u_sdp.h ../include/e_node.h ../include/e_subckt.h \
+ ../include/e_model.h
+d_mos7.o: d_mos7.cc ../include/l_compar.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/l_denoise.h \
+ ../include/u_opt.h ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/globals.h ../include/l_dispatcher.h ../include/l_stlextra.h \
+ ../include/ap.h ../include/e_elemnt.h ../include/e_node.h \
+ ../include/u_sim_data.h ../include/constant.h ../include/l_compar.h \
+ ../include/m_matrix.h ../include/e_base.h ../include/m_cpoly.h \
+ ../include/l_denoise.h ../include/e_compon.h ../include/u_time_pair.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/e_cardlist.h ../include/e_card.h d_mos7.h d_mos_base.h \
+ d_mos.h d_diode.h ../include/u_sdp.h ../include/e_node.h \
+ ../include/e_subckt.h ../include/e_model.h
+d_mos8.o: d_mos8.cc ../include/l_compar.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/l_denoise.h \
+ ../include/u_opt.h ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/globals.h ../include/l_dispatcher.h ../include/l_stlextra.h \
+ ../include/ap.h ../include/e_elemnt.h ../include/e_node.h \
+ ../include/u_sim_data.h ../include/constant.h ../include/l_compar.h \
+ ../include/m_matrix.h ../include/e_base.h ../include/m_cpoly.h \
+ ../include/l_denoise.h ../include/e_compon.h ../include/u_time_pair.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/e_cardlist.h ../include/e_card.h d_mos8.h d_mos_base.h \
+ d_mos.h d_diode.h ../include/u_sdp.h ../include/e_node.h \
+ ../include/e_subckt.h ../include/e_model.h
+d_mos123.o: d_mos123.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h d_mos123.h d_mos_base.h d_mos.h d_diode.h \
+ ../include/u_sdp.h ../include/e_node.h ../include/e_subckt.h \
+ ../include/e_model.h
+d_mos_base.o: d_mos_base.cc ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h d_mos_base.h d_mos.h d_diode.h ../include/u_sdp.h \
+ ../include/e_node.h ../include/e_subckt.h ../include/e_model.h
+d_mos.o: d_mos.cc ../include/u_limit.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/e_storag.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/m_matrix.h ../include/l_stlextra.h ../include/e_base.h \
+ ../include/m_cpoly.h ../include/l_denoise.h ../include/e_compon.h \
+ ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/ap.h \
+ ../include/e_cardlist.h ../include/e_card.h d_mos_base.h d_mos.h \
+ d_diode.h ../include/u_sdp.h ../include/e_node.h ../include/e_subckt.h \
+ ../include/e_model.h ../include/globals.h ../include/l_dispatcher.h \
+ ../include/e_elemnt.h
+d_bjt.o: d_bjt.cc ../include/u_limit.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h d_bjt.h d_diode.h ../include/u_sdp.h \
+ ../include/e_node.h ../include/e_subckt.h ../include/e_model.h
+d_diode.o: d_diode.cc ../include/e_aux.h ../include/e_node.h \
+ ../include/u_sim_data.h ../include/constant.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/l_compar.h \
+ ../include/u_opt.h ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/m_matrix.h ../include/l_stlextra.h ../include/e_base.h \
+ ../include/e_storag.h ../include/e_elemnt.h ../include/m_cpoly.h \
+ ../include/l_denoise.h ../include/e_compon.h ../include/u_time_pair.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/ap.h ../include/e_cardlist.h ../include/e_card.h \
+ ../include/globals.h ../include/l_dispatcher.h ../include/e_elemnt.h \
+ d_diode.h ../include/u_sdp.h ../include/e_node.h ../include/e_subckt.h \
+ ../include/e_model.h
+d_admit.o: d_admit.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h
+d_cap.o: d_cap.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_storag.h ../include/e_elemnt.h ../include/e_node.h \
+ ../include/u_sim_data.h ../include/constant.h ../include/l_compar.h \
+ ../include/m_matrix.h ../include/e_base.h ../include/m_cpoly.h \
+ ../include/l_denoise.h ../include/e_compon.h ../include/u_time_pair.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/e_cardlist.h ../include/e_card.h
+d_cccs.o: d_cccs.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h ../include/e_ccsrc.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h
+d_ccvs.o: d_ccvs.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h ../include/e_ccsrc.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h
+d_coil.o: d_coil.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_subckt.h ../include/e_compon.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/e_base.h ../include/e_ccsrc.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/m_cpoly.h \
+ ../include/l_denoise.h ../include/e_storag.h
+d_cs.o: d_cs.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h
+d_poly_g.o: d_poly_g.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h
+d_poly_cap.o: d_poly_cap.cc ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_storag.h ../include/e_elemnt.h ../include/e_node.h \
+ ../include/u_sim_data.h ../include/constant.h ../include/l_compar.h \
+ ../include/m_matrix.h ../include/e_base.h ../include/m_cpoly.h \
+ ../include/l_denoise.h ../include/e_compon.h ../include/u_time_pair.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/e_cardlist.h ../include/e_card.h
+d_res.o: d_res.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h
+d_switch.o: d_switch.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h ../include/e_model.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/constant.h ../include/e_cardlist.h ../include/e_card.h \
+ ../include/e_base.h ../include/e_elemnt.h ../include/e_node.h \
+ ../include/u_sim_data.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/m_cpoly.h ../include/l_denoise.h ../include/e_compon.h \
+ ../include/u_time_pair.h
+d_trln.o: d_trln.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h ../include/m_wave.h \
+ ../include/l_denoise.h ../include/m_interp.h ../include/m_cpoly.h \
+ ../include/constant.h ../include/e_elemnt.h ../include/e_node.h \
+ ../include/u_sim_data.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/e_compon.h ../include/u_time_pair.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/e_cardlist.h ../include/e_card.h
+d_vcg.o: d_vcg.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h
+d_vcr.o: d_vcr.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h
+d_vcvs.o: d_vcvs.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h
+d_vs.o: d_vs.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h
+d_meter.o: d_meter.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/u_xprobe.h
+bm_complex.o: bm_complex.cc ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/bm.h
+bm_exp.o: bm_exp.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/u_lang.h ../include/bm.h
+bm_fit.o: bm_fit.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h ../include/u_lang.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/m_spline.h ../include/bm.h
+bm_generator.o: bm_generator.cc ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/bm.h
+bm_model.o: bm_model.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h ../include/e_model.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/constant.h ../include/e_cardlist.h ../include/e_card.h \
+ ../include/e_base.h ../include/bm.h ../include/e_compon.h \
+ ../include/u_sim_data.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/u_time_pair.h
+bm_poly.o: bm_poly.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h ../include/u_lang.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/bm.h
+bm_posy.o: bm_posy.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h ../include/u_lang.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/bm.h
+bm_pulse.o: bm_pulse.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/u_lang.h ../include/bm.h
+bm_pwl.o: bm_pwl.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h ../include/u_lang.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/m_interp.h ../include/bm.h
+bm_sffm.o: bm_sffm.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/l_denoise.h ../include/u_lang.h \
+ ../include/bm.h
+bm_sin.o: bm_sin.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/u_lang.h ../include/l_denoise.h \
+ ../include/bm.h
+bm_tanh.o: bm_tanh.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h ../include/u_lang.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/bm.h
+bmm_table.o: bmm_table.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h ../include/u_lang.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/m_spline.h ../include/e_model.h \
+ ../include/bm.h
+bmm_semi.o: bmm_semi.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h ../include/u_lang.h \
+ ../include/e_model.h ../include/u_parameter.h ../include/m_expression.h \
+ ../include/m_base.h ../include/constant.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/e_base.h ../include/bm.h \
+ ../include/e_compon.h ../include/u_sim_data.h ../include/l_compar.h \
+ ../include/m_matrix.h ../include/u_time_pair.h
+c_clear.o: c_clear.cc ../include/c_comand.h ../include/e_card.h \
+ ../include/e_base.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h
+c_comand.o: c_comand.cc ../include/constant.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/c_comand.h \
+ ../include/e_card.h ../include/e_base.h ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h
+c_delete.o: c_delete.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/d_subckt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/e_subckt.h ../include/e_compon.h \
+ ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/c_comand.h
+c_exp.o: c_exp.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/m_expression.h ../include/m_base.h ../include/constant.h \
+ ../include/c_comand.h ../include/e_card.h ../include/e_base.h
+c_genrat.o: c_genrat.cc ../include/u_sim_data.h ../include/constant.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/l_compar.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/m_matrix.h \
+ ../include/l_stlextra.h ../include/globals.h ../include/l_dispatcher.h \
+ ../include/ap.h ../include/c_comand.h ../include/e_card.h \
+ ../include/e_base.h
+c_list.o: c_list.cc ../include/e_cardlist.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_lang.h \
+ ../include/u_opt.h ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/c_comand.h ../include/e_card.h ../include/e_base.h \
+ ../include/globals.h ../include/l_dispatcher.h ../include/l_stlextra.h \
+ ../include/ap.h
+c_measure.o: c_measure.cc ../include/u_parameter.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/m_expression.h \
+ ../include/m_base.h ../include/ap.h ../include/constant.h \
+ ../include/e_cardlist.h ../include/u_function.h ../include/c_comand.h \
+ ../include/e_card.h ../include/e_base.h ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h
+c_modify.o: c_modify.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/u_cardst.h ../include/c_comand.h
+c_param.o: c_param.cc ../include/c_comand.h ../include/e_card.h \
+ ../include/e_base.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_parameter.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/m_expression.h ../include/m_base.h ../include/ap.h \
+ ../include/constant.h ../include/e_cardlist.h ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h
+c_prbcmd.o: c_prbcmd.cc ../include/u_sim_data.h ../include/constant.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/l_compar.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/m_matrix.h \
+ ../include/l_stlextra.h ../include/c_comand.h ../include/e_card.h \
+ ../include/e_base.h ../include/u_prblst.h ../include/u_probe.h \
+ ../include/globals.h ../include/l_dispatcher.h ../include/ap.h
+c_status.o: c_status.cc ../include/u_sim_data.h ../include/constant.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/l_compar.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/m_matrix.h \
+ ../include/l_stlextra.h ../include/u_status.h ../include/l_timer.h \
+ ../include/c_comand.h ../include/e_card.h ../include/e_base.h \
+ ../include/globals.h ../include/l_dispatcher.h ../include/ap.h
+c_sweep.o: c_sweep.cc ../include/c_comand.h ../include/e_card.h \
+ ../include/e_base.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h
+c_sim.o: c_sim.cc ../include/u_sim_data.h ../include/constant.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/l_compar.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/m_matrix.h \
+ ../include/l_stlextra.h ../include/c_comand.h ../include/e_card.h \
+ ../include/e_base.h ../include/globals.h ../include/l_dispatcher.h \
+ ../include/ap.h
+c_system.o: c_system.cc ../include/c_comand.h ../include/e_card.h \
+ ../include/e_base.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h
+s__init.o: s__init.cc ../include/u_status.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/l_timer.h \
+ ../include/u_sim_data.h ../include/constant.h ../include/l_compar.h \
+ ../include/u_opt.h ../include/m_matrix.h ../include/l_stlextra.h \
+ ../include/s__.h ../include/c_comand.h ../include/e_card.h \
+ ../include/e_base.h
+s__out.o: s__out.cc ../include/u_sim_data.h ../include/constant.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/l_compar.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/m_matrix.h \
+ ../include/l_stlextra.h ../include/u_status.h ../include/l_timer.h \
+ ../include/m_wave.h ../include/l_denoise.h ../include/m_interp.h \
+ ../include/m_cpoly.h ../include/u_prblst.h ../include/u_probe.h \
+ ../include/declare.h ../include/s__.h ../include/c_comand.h \
+ ../include/e_card.h ../include/e_base.h
+s__solve.o: s__solve.cc ../include/e_cardlist.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_status.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/l_timer.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/u_opt.h \
+ ../include/m_matrix.h ../include/l_stlextra.h ../include/e_base.h \
+ ../include/s__.h ../include/c_comand.h ../include/e_card.h
+s_ac.o: s_ac.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/u_sim_data.h ../include/constant.h ../include/l_compar.h \
+ ../include/m_matrix.h ../include/u_status.h ../include/l_timer.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/e_cardlist.h ../include/u_prblst.h ../include/u_probe.h \
+ ../include/s__.h ../include/c_comand.h ../include/e_card.h \
+ ../include/e_base.h
+s_dc.o: s_dc.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/u_status.h ../include/l_timer.h ../include/u_prblst.h \
+ ../include/u_probe.h ../include/l_compar.h ../include/u_cardst.h \
+ ../include/e_compon.h ../include/u_sim_data.h ../include/constant.h \
+ ../include/m_matrix.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/e_base.h ../include/e_elemnt.h \
+ ../include/e_node.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/s__.h ../include/c_comand.h
+s_fo.o: s_fo.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/u_sim_data.h ../include/constant.h ../include/l_compar.h \
+ ../include/m_matrix.h ../include/u_status.h ../include/l_timer.h \
+ ../include/m_phase.h ../include/declare.h ../include/u_prblst.h \
+ ../include/u_probe.h ../include/s_tr.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/s__.h ../include/c_comand.h ../include/e_card.h \
+ ../include/e_base.h
+s_tr.o: s_tr.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/u_sim_data.h ../include/constant.h ../include/l_compar.h \
+ ../include/m_matrix.h ../include/u_status.h ../include/l_timer.h \
+ ../include/s_tr.h ../include/u_parameter.h ../include/m_expression.h \
+ ../include/m_base.h ../include/e_cardlist.h ../include/s__.h \
+ ../include/c_comand.h ../include/e_card.h ../include/e_base.h
+s_tr_set.o: s_tr_set.cc ../include/u_sim_data.h ../include/constant.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/l_compar.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/m_matrix.h \
+ ../include/l_stlextra.h ../include/u_prblst.h ../include/u_probe.h \
+ ../include/ap.h ../include/s_tr.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/ap.h \
+ ../include/e_cardlist.h ../include/s__.h ../include/c_comand.h \
+ ../include/e_card.h ../include/e_base.h
+s_tr_swp.o: s_tr_swp.cc ../include/u_time_pair.h ../include/constant.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/u_sim_data.h ../include/l_compar.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/m_matrix.h ../include/l_stlextra.h ../include/u_status.h \
+ ../include/l_timer.h ../include/declare.h ../include/s_tr.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/ap.h ../include/e_cardlist.h ../include/s__.h \
+ ../include/c_comand.h ../include/e_card.h ../include/e_base.h
+lang_spice.o: lang_spice.cc ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/u_status.h ../include/l_timer.h ../include/c_comand.h \
+ ../include/e_card.h ../include/e_base.h ../include/d_dot.h \
+ ../include/d_coment.h ../include/d_subckt.h ../include/e_node.h \
+ ../include/u_sim_data.h ../include/constant.h ../include/l_compar.h \
+ ../include/m_matrix.h ../include/e_subckt.h ../include/e_compon.h \
+ ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/u_lang.h ../include/d_logic.h ../include/e_model.h \
+ ../include/e_elemnt.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/bm.h
+lang_spectre.o: lang_spectre.cc ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/c_comand.h ../include/e_card.h ../include/e_base.h \
+ ../include/d_dot.h ../include/d_coment.h ../include/d_subckt.h \
+ ../include/e_node.h ../include/u_sim_data.h ../include/constant.h \
+ ../include/l_compar.h ../include/m_matrix.h ../include/e_subckt.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_model.h ../include/u_lang.h
+lang_verilog.o: lang_verilog.cc ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/c_comand.h ../include/e_card.h ../include/e_base.h \
+ ../include/d_dot.h ../include/d_coment.h ../include/d_subckt.h \
+ ../include/e_node.h ../include/u_sim_data.h ../include/constant.h \
+ ../include/l_compar.h ../include/m_matrix.h ../include/e_subckt.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_model.h ../include/u_lang.h
+func_core.o: func_core.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/constant.h ../include/e_cardlist.h ../include/u_function.h
+measure_eval.o: measure_eval.cc ../include/u_parameter.h \
+ ../include/u_opt.h ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/m_expression.h ../include/m_base.h ../include/ap.h \
+ ../include/constant.h ../include/e_cardlist.h ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h \
+ ../include/u_function.h
+measure_max.o: measure_max.cc ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/constant.h ../include/e_cardlist.h ../include/m_wave.h \
+ ../include/l_denoise.h ../include/m_interp.h ../include/m_cpoly.h \
+ ../include/u_function.h
+measure_min.o: measure_min.cc ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/constant.h ../include/e_cardlist.h ../include/m_wave.h \
+ ../include/l_denoise.h ../include/m_interp.h ../include/m_cpoly.h \
+ ../include/u_function.h
+measure_slewrate.o: measure_slewrate.cc ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/l_compar.h ../include/u_parameter.h ../include/m_expression.h \
+ ../include/m_base.h ../include/constant.h ../include/e_cardlist.h \
+ ../include/m_wave.h ../include/l_denoise.h ../include/m_interp.h \
+ ../include/m_cpoly.h ../include/u_function.h
+measure_cross.o: measure_cross.cc ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/constant.h ../include/e_cardlist.h ../include/m_wave.h \
+ ../include/l_denoise.h ../include/m_interp.h ../include/m_cpoly.h \
+ ../include/u_function.h
+measure_integral.o: measure_integral.cc ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/constant.h ../include/e_cardlist.h ../include/m_wave.h \
+ ../include/l_denoise.h ../include/m_interp.h ../include/m_cpoly.h \
+ ../include/u_function.h
+measure_average.o: measure_average.cc ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/constant.h ../include/e_cardlist.h ../include/m_wave.h \
+ ../include/l_denoise.h ../include/m_interp.h ../include/m_cpoly.h \
+ ../include/u_function.h
+measure_rms.o: measure_rms.cc ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/constant.h ../include/e_cardlist.h ../include/m_wave.h \
+ ../include/l_denoise.h ../include/m_interp.h ../include/m_cpoly.h \
+ ../include/u_function.h
+measure_at.o: measure_at.cc ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/constant.h ../include/e_cardlist.h ../include/m_wave.h \
+ ../include/l_denoise.h ../include/m_interp.h ../include/m_cpoly.h \
+ ../include/u_function.h
+signon.o: signon.cc ../include/io_.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/patchlev.h
diff --git a/src/Make1 b/apps/Make1
similarity index 69%
rename from src/Make1
rename to apps/Make1
index 8d44232..94cea84 100644
--- a/src/Make1
+++ b/apps/Make1
@@ -1,4 +1,4 @@
-#$Id: Make1,v 26.135 2009/12/02 09:26:53 al Exp $ -*- Makefile -*-
+#$Id: Make1,v 26.138 2013/04/24 02:44:30 al Exp $ -*- Makefile -*-
 # Copyright (C) 2001 Albert Davis
 # Author: Albert Davis <aldavis at gnu.org>
 #
@@ -19,10 +19,13 @@
 # Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
 # 02110-1301, USA.
 #------------------------------------------------------------------------
-TARGET = gnucap
+TARGET = libgnucap-default-plugins
 #------------------------------------------------------------------------
-DELETED = \
-s__.cc s__aux.cc s__map.cc 
+INSTALL_FILES = $(TARGET)$(TARGET_EXT)
+#------------------------------------------------------------------------
+INSTALL_DIR = $(PREFIX)/lib
+#------------------------------------------------------------------------
+DELETED =
 #------------------------------------------------------------------------
 # These source files can be omitted, and supplied as plugins.
 # device models defined in high level .model files
@@ -36,23 +39,25 @@ d_bjt.model d_diode.model
 D_SRCS = \
 d_admit.cc d_cap.cc d_cccs.cc d_ccvs.cc d_coil.cc \
 d_cs.cc d_poly_g.cc d_poly_cap.cc d_res.cc d_switch.cc \
-d_trln.cc d_vcg.cc d_vcr.cc d_vcvs.cc d_vs.cc
+d_trln.cc d_vcg.cc d_vcr.cc d_vcvs.cc d_vs.cc \
+d_meter.cc
 
 # behavioral modeling functions
 BM_SRCS = \
-bm_complex.cc bm_cond.cc bm_exp.cc bm_fit.cc bm_generator.cc \
+bm_complex.cc bm_exp.cc bm_fit.cc bm_generator.cc \
 bm_model.cc bm_poly.cc bm_posy.cc bm_pulse.cc bm_pwl.cc bm_sffm.cc \
 bm_sin.cc bm_tanh.cc bmm_table.cc bmm_semi.cc
 
 # utility commands, usually one file per command
 # some have multiple commands in a file
 C_SRCS = \
-c_clear.cc c_comand.cc c_delete.cc c_exp.cc c_list.cc \
-c_measure.cc c_modify.cc c_param.cc c_prbcmd.cc c_status.cc \
-c_sweep.cc c_sim.cc c_system.cc
+c_clear.cc c_comand.cc c_delete.cc c_exp.cc  c_genrat.cc \
+c_list.cc c_measure.cc c_modify.cc c_param.cc c_prbcmd.cc \
+c_status.cc c_sweep.cc c_sim.cc c_system.cc
 
 # simulation commands, usually multiple files for one command
 S_SRCS = \
+s__init.cc s__out.cc s__solve.cc \
 s_ac.cc s_dc.cc s_fo.cc \
 s_tr.cc s_tr_set.cc s_tr_swp.cc
 
@@ -65,6 +70,10 @@ FUNC_SRCS = \
 func_core.cc measure_eval.cc \
 measure_max.cc measure_min.cc measure_slewrate.cc measure_cross.cc \
 measure_integral.cc measure_average.cc measure_rms.cc measure_at.cc
+
+# other stuff
+OTHER_SRCS = \
+signon.cc
 #------------------------------------------------------------------------
 RAW_SRCS = \
 $(D_SRCS) \
@@ -73,49 +82,16 @@ $(C_SRCS) \
 $(S_SRCS) \
 $(LANG_SRCS) \
 $(FUNC_SRCS) \
-m_expression_dump.cc m_expression_in.cc m_expression_reduce.cc \
-m_base_in.cc m_base_math.cc m_fft.cc  m_spline.cc \
-ap_construct.cc ap_convert.cc ap_error.cc ap_get.cc ap_match.cc ap_skip.cc \
-l_ftos.cc l_pmatch.cc l_timer.cc l_trim.cc l_wmatch.cc \
-io.cc io_contr.cc io_error.cc io_findf.cc io_out.cc io_xopen.cc \
-u_function.cc u_lang.cc u_nodemap.cc u_opt1.cc u_opt2.cc u_parameter.cc \
-u_prblst.cc u_probe.cc u_sim_data.cc u_xprobe.cc \
-s__init.cc s__out.cc s__solve.cc \
-d_subckt.cc d_logic.cc d_logicmod.cc \
-e_base.cc e_card.cc e_node.cc e_model.cc e_compon.cc \
-e_elemnt.cc e_ccsrc.cc e_storag.cc e_cardlist.cc \
-bm_value.cc bm.cc \
-c__cmd.cc c_attach.cc c_file.cc c_genrat.cc \
-findbr.cc plot.cc main.cc globals.cc
-#------------------------------------------------------------------------
-RAW_HDRS = \
-md.h ap.h mode.h constant.h declare.h patchlev.h \
-l_compar.h l_dispatcher.h l_denoise.h \
-l_lib.h l_stlextra.h l_timer.h \
-m_cpoly.h m_divdiff.h m_interp.h m_matrix.h m_spline.h m_wave.h \
-m_base.h m_expression.h m_phase.h \
-io_.h io_error.h io_trace.h \
-u_cardst.h u_function.h u_lang.h u_limit.h u_nodemap.h u_opt.h u_parameter.h \
-u_prblst.h u_probe.h u_sim_data.h u_sdp.h u_status.h u_time_pair.h u_xprobe.h \
-s__.h s_tr.h \
-e_base.h e_card.h e_node.h e_aux.h e_model.h e_compon.h e_subckt.h \
-e_elemnt.h e_ccsrc.h e_storag.h e_cardlist.h \
-d_coment.h d_dot.h d_logic.h d_subckt.h \
-bm.h \
-c_comand.h globals.h
-#------------------------------------------------------------------------
-RAW_OTHER = \
-configure.old Make1 test_readline.cc spice-wrapper.cc \
-Make3 Makefile.template \
-Make2.g++ Make2.Debug Make2.mingw32 \
-Makefile.am
-#------------------------------------------------------------------------
-RAW = $(RAW_HDRS) $(RAW_SRCS) $(MODELS) $(RAW_OTHER)
+$(OTHER_SRCS)
+#------------------------------------------------------------------------
+RAW_OTHER = Make1 Make2.g++ Make2.Debug Make2.mingw32 configure test_readline.cc
+#------------------------------------------------------------------------
+RAW = $(RAW_HDRS) $(RAW_SRCS) $(RAW_OTHER) $(MODELS)
 #------------------------------------------------------------------------
 #------------------------------------------------------------------------
 IMPORTED_SRCS =
 IMPORTED_HDRS =
-IMPORTED_OTHER = Makefile.in
+IMPORTED_OTHER =
 IMPORTED = $(IMPORTED_SRCS) $(IMPORTED_HDRS) $(IMPORTED_OTHER)
 #------------------------------------------------------------------------
 #------------------------------------------------------------------------
@@ -142,13 +118,13 @@ DISTCLEANFILES = $(CLEANFILES)
 MAINTAINERCLEANFILES = $(DISTCLEANFILES)
 #------------------------------------------------------------------------
 #------------------------------------------------------------------------
-all: $(TARGET)
-#------------------------------------------------------------------------
+LIBPATH = `pwd | sed 's/apps/lib/g'`
+MODELGEN = `pwd | sed 's/apps/modelgen/g'`/gnucap-modelgen
 #------------------------------------------------------------------------
-%.h : %.model gnucap-modelgen
-	./gnucap-modelgen -h $<
+%.h : %.model
+	(export LD_LIBRARY_PATH=$(LIBPATH); $(MODELGEN) -h $<)
 #------------------------------------------------------------------------
-%.cc : %.model gnucap-modelgen
-	./gnucap-modelgen -cc $<
+%.cc : %.model
+	(export LD_LIBRARY_PATH=$(LIBPATH); $(MODELGEN) -cc $<)
 #-----------------------------------------------------------------------------
 #-----------------------------------------------------------------------------
diff --git a/src/Make2.Debug b/apps/Make2.Debug
similarity index 95%
copy from src/Make2.Debug
copy to apps/Make2.Debug
index 660220a..d698580 100644
--- a/src/Make2.Debug
+++ b/apps/Make2.Debug
@@ -1,4 +1,4 @@
-#$Id: Make2.Debug,v 26.97 2008/10/11 03:13:53 al Exp $ -*- Makefile -*-
+#$Id: Make2.Debug,v 26.138 2013/04/24 02:44:30 al Exp $ -*- Makefile -*-
 # Copyright (C) 2001 Albert Davis
 # Author: Albert Davis <aldavis at gnu.org>
 #
@@ -27,8 +27,9 @@ VPATH = .:..
 CCC = g++
 
 CCFLAGS = \
+-fPIC \
 -DHAVE_LIBREADLINE \
--DUNIX  -g -O0 -I. -I.. -DTRACE_UNTESTED \
+-DUNIX  -g -O0 -I. -I../../include -DTRACE_UNTESTED \
 -Wall -Wextra \
 -Wswitch-enum -Wundef -Wpointer-arith -Woverloaded-virtual \
 -Wcast-qual -Wcast-align -Wpacked -Wshadow -Wconversion \
@@ -40,7 +41,7 @@ CCFLAGS = \
 LIBS = \
 -lreadline -ltermcap \
 
-LDFLAGS = -rdynamic
+LDFLAGS = -shared
 
 %.SUFFIXES:
 .SUFFIXES: .o .cc
diff --git a/src/Make2.g++ b/apps/Make2.g++
similarity index 93%
copy from src/Make2.g++
copy to apps/Make2.g++
index b25e6df..813f82a 100644
--- a/src/Make2.g++
+++ b/apps/Make2.g++
@@ -1,4 +1,4 @@
-#$Id: Make2.g++,v 26.134 2009/11/29 03:47:06 al Exp $ -*- Makefile -*-
+#$Id: Make2.g++,v 26.138 2013/04/24 02:44:30 al Exp $ -*- Makefile -*-
 # Copyright (C) 2001 Albert Davis
 # Author: Albert Davis <aldavis at gnu.org>
 #
@@ -26,14 +26,15 @@ VPATH = .:..
 CCC = g++
 
 CCFLAGS = \
+-fPIC \
 -DHAVE_LIBREADLINE \
--DUNIX -O3 -DNDEBUG -I.. -I. -W
+-DUNIX -O3 -DNDEBUG -I. -I../include -I../../include -W
 
 LIBS = \
 -lreadline -ltermcap \
 
 
-LDFLAGS = -rdynamic
+LDFLAGS = -shared
 
 .SUFFIXES:
 .SUFFIXES: .o .cc
diff --git a/modelgen/Make2.mingw32 b/apps/Make2.mingw32
similarity index 91%
copy from modelgen/Make2.mingw32
copy to apps/Make2.mingw32
index 3733fec..409d152 100644
--- a/modelgen/Make2.mingw32
+++ b/apps/Make2.mingw32
@@ -1,4 +1,4 @@
-#$Id: Make2.mingw32,v 26.81 2008/05/27 05:34:00 al Exp $ -*- Makefile -*-
+#$Id: Make2.mingw32,v 26.138 2013/04/24 02:44:30 al Exp $ -*- Makefile -*-
 # Copyright (C) 2001 Albert Davis
 # Author: Albert Davis <aldavis at gnu.org>
 #
@@ -27,12 +27,13 @@ CCC = i586-mingw32msvc-g++
 DLLTOOL = i586-mingw32msvc-dlltool
 
 CCFLAGS = \
--O2 -DNDEBUG -I.. -I.
+-DMAKEDLL \
+-O2 -DNDEBUG -I. -I../../include
 
-LIBS = \
+LIBS = -lgnucap
 
 
-LDFLAGS =
+LDFLAGS = -shared -L$(GNUCAP_INCLUDE)/MSW/
 
 .SUFFIXES:
 .SUFFIXES: .o .cc
@@ -40,7 +41,7 @@ LDFLAGS =
 #------------------------------------------------------------------------
 $(TARGET): $(TARGET).exe lib$(TARGET).a
 #------------------------------------------------------------------------
-$(TARGET).exe: $(TARGET_DEPENDS)
+$(TARGET).dll: $(TARGET_DEPENDS)
 	rm -f $@
 	$(CCC) $(CCFLAGS) $(OBJS) $(LIBS) $(LDFLAGS) -o $@ 
 #------------------------------------------------------------------------
diff --git a/apps/bm_complex.cc b/apps/bm_complex.cc
index c285f98..99d20b7 100644
--- a/apps/bm_complex.cc
+++ b/apps/bm_complex.cc
@@ -1,4 +1,4 @@
-/*$Id: bm_complex.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: bm_complex.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -23,6 +23,7 @@
  * used with tc, etc, and conditionals
  */
 //testing=script 2006.07.13
+#include "globals.h"
 #include "e_elemnt.h"
 #include "bm.h"
 /*--------------------------------------------------------------------------*/
diff --git a/apps/bm_exp.cc b/apps/bm_exp.cc
index e87f163..d438c17 100644
--- a/apps/bm_exp.cc
+++ b/apps/bm_exp.cc
@@ -1,4 +1,4 @@
-/*$Id: bm_exp.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: bm_exp.cc,v 26.138 2013/04/24 02:44:30 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -22,6 +22,7 @@
  * SPICE compatible EXP
  */
 //testing=script 2005.10.06
+#include "globals.h"
 #include "e_elemnt.h"
 #include "u_lang.h"
 #include "bm.h"
@@ -57,7 +58,7 @@ private: // override vitrual
 
   void		precalc_first(const CARD_LIST*);
   void		tr_eval(ELEMENT*)const;
-  TIME_PAIR	tr_review(COMPONENT*);
+  TIME_PAIR	tr_review(COMPONENT*)const;
   std::string	name()const		{return "exp";}
   bool		ac_too()const		{return false;}
   bool		parse_numlist(CS&);
@@ -152,7 +153,7 @@ void EVAL_BM_EXP::tr_eval(ELEMENT* d)const
   tr_finish_tdv(d, ev);
 }
 /*--------------------------------------------------------------------------*/
-TIME_PAIR EVAL_BM_EXP::tr_review(COMPONENT* d)
+TIME_PAIR EVAL_BM_EXP::tr_review(COMPONENT* d)const
 {
   double time = d->_sim->_time0;
   time += d->_sim->_dtmin * .01;  // hack to avoid duplicate events from numerical noise
diff --git a/apps/bm_fit.cc b/apps/bm_fit.cc
index 0f056fd..e831f15 100644
--- a/apps/bm_fit.cc
+++ b/apps/bm_fit.cc
@@ -1,4 +1,4 @@
-/*$Id: bm_fit.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: bm_fit.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -22,6 +22,7 @@
  * cubic spline bm function
  */
 //testing=script 2006.04.18
+#include "globals.h"
 #include "u_lang.h"
 #include "e_elemnt.h"
 #include "m_spline.h"
diff --git a/apps/bm_generator.cc b/apps/bm_generator.cc
index 973ba27..04d9e12 100644
--- a/apps/bm_generator.cc
+++ b/apps/bm_generator.cc
@@ -1,4 +1,4 @@
-/*$Id: bm_generator.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: bm_generator.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -23,6 +23,7 @@
  * used with tc, etc, and conditionals
  */
 //testing=script,complete 2005.10.06
+#include "globals.h"
 #include "e_elemnt.h"
 #include "bm.h"
 /*--------------------------------------------------------------------------*/
diff --git a/apps/bm_model.cc b/apps/bm_model.cc
index 093cca5..fec5215 100644
--- a/apps/bm_model.cc
+++ b/apps/bm_model.cc
@@ -1,4 +1,4 @@
-/*$Id: bm_model.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: bm_model.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -23,6 +23,7 @@
  * accepts an unknown name for later linking to a .model
  */
 //testing=script 2006.07.13
+#include "globals.h"
 #include "e_model.h"
 #include "bm.h"
 /*--------------------------------------------------------------------------*/
diff --git a/apps/bm_poly.cc b/apps/bm_poly.cc
index 747bb88..6279a01 100644
--- a/apps/bm_poly.cc
+++ b/apps/bm_poly.cc
@@ -1,4 +1,4 @@
-/*$Id: bm_poly.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: bm_poly.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -22,6 +22,7 @@
  * HSPICE compatible POLY
  */
 //testing=script,complete 2005.10.06
+#include "globals.h"
 #include "u_lang.h"
 #include "e_elemnt.h"
 #include "bm.h"
diff --git a/apps/bm_posy.cc b/apps/bm_posy.cc
index 8d61237..ad466a2 100644
--- a/apps/bm_posy.cc
+++ b/apps/bm_posy.cc
@@ -1,4 +1,4 @@
-/*$Id: bm_posy.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: bm_posy.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -23,6 +23,7 @@
  * pair ...  first is key, second is value
  */
 //testing=script 2005.10.06
+#include "globals.h"
 #include "u_lang.h"
 #include "e_elemnt.h"
 #include "bm.h"
diff --git a/apps/bm_pulse.cc b/apps/bm_pulse.cc
index f415d2b..06c3047 100644
--- a/apps/bm_pulse.cc
+++ b/apps/bm_pulse.cc
@@ -1,4 +1,4 @@
-/*$Id: bm_pulse.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: bm_pulse.cc,v 26.138 2013/04/24 02:44:30 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -22,6 +22,7 @@
  * SPICE compatible PULSE
  */
 //testing=script 2005.10.06
+#include "globals.h"
 #include "e_elemnt.h"
 #include "u_lang.h"
 #include "bm.h"
@@ -57,7 +58,7 @@ private: // override vitrual
 
   void		precalc_first(const CARD_LIST*);
   void		tr_eval(ELEMENT*)const;
-  TIME_PAIR	tr_review(COMPONENT*);
+  TIME_PAIR	tr_review(COMPONENT*)const;
   std::string	name()const		{return "pulse";}
   bool		ac_too()const		{return false;}
   bool		parse_numlist(CS&);
@@ -174,7 +175,7 @@ void EVAL_BM_PULSE::tr_eval(ELEMENT* d)const
   tr_finish_tdv(d, ev);
 }
 /*--------------------------------------------------------------------------*/
-TIME_PAIR EVAL_BM_PULSE::tr_review(COMPONENT* d)
+TIME_PAIR EVAL_BM_PULSE::tr_review(COMPONENT* d)const
 {
   double time = d->_sim->_time0;
   time += d->_sim->_dtmin * .01;  // hack to avoid duplicate events from numerical noise
diff --git a/apps/bm_pwl.cc b/apps/bm_pwl.cc
index 8c60345..ed3a3ab 100644
--- a/apps/bm_pwl.cc
+++ b/apps/bm_pwl.cc
@@ -1,4 +1,4 @@
-/*$Id: bm_pwl.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: bm_pwl.cc,v 26.138 2013/04/24 02:44:30 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -22,6 +22,7 @@
  * HSPICE compatible PWL
  */
 //testing=script 2005.10.06
+#include "globals.h"
 #include "u_lang.h"
 #include "e_elemnt.h"
 #include "m_interp.h"
@@ -51,7 +52,7 @@ private: // override virtual
   void		precalc_last(const CARD_LIST*);
 
   void		tr_eval(ELEMENT*)const;
-  TIME_PAIR	tr_review(COMPONENT*);
+  TIME_PAIR	tr_review(COMPONENT*)const;
   std::string	name()const		{return "pwl";}
   bool		ac_too()const		{return false;}
   bool		parse_numlist(CS&);
@@ -148,7 +149,7 @@ void EVAL_BM_PWL::tr_eval(ELEMENT* d)const
   tr_final_adjust(&(d->_y[0]), d->f_is_value());
 }
 /*--------------------------------------------------------------------------*/
-TIME_PAIR EVAL_BM_PWL::tr_review(COMPONENT* d)
+TIME_PAIR EVAL_BM_PWL::tr_review(COMPONENT* d)const
 {
   if (d->is_source()) {
     // index (x) is time
@@ -156,13 +157,13 @@ TIME_PAIR EVAL_BM_PWL::tr_review(COMPONENT* d)
     assert(dd);
     double x = dd->_y[0].x + d->_sim->_dtmin * .01;
     DPAIR here(x, BIGBIG);
-    std::vector<DPAIR>::iterator begin = _num_table.begin();
-    std::vector<DPAIR>::iterator end   = _num_table.end();
-    std::vector<DPAIR>::iterator upper = upper_bound(begin, end, here);
-    std::vector<DPAIR>::iterator lower = upper - 1;
+    std::vector<DPAIR>::const_iterator begin = _num_table.begin();
+    std::vector<DPAIR>::const_iterator end   = _num_table.end();
+    std::vector<DPAIR>::const_iterator upper = upper_bound(begin, end, here);
+    std::vector<DPAIR>::const_iterator lower = upper - 1;
     assert(x > lower->first);
     d->_time_by.min_event((x < upper->first) ? upper->first : NEVER);
-  }else{untested();
+  }else{itested();
     // index (x) is input
     // It's really needed here too, more work needed
   }
diff --git a/apps/bm_sffm.cc b/apps/bm_sffm.cc
index c7637a8..a691014 100644
--- a/apps/bm_sffm.cc
+++ b/apps/bm_sffm.cc
@@ -1,4 +1,4 @@
-/*$Id: bm_sffm.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: bm_sffm.cc,v 26.138 2013/04/24 02:44:30 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -22,6 +22,7 @@
  * SPICE compatible SFFM
  */
 //testing=script 2005.10.07
+#include "globals.h"
 #include "e_elemnt.h"
 #include "l_denoise.h"
 #include "u_lang.h"
@@ -60,7 +61,7 @@ private: // override vitrual
 
   void		precalc_first(const CARD_LIST*);
   void		tr_eval(ELEMENT*)const;
-  TIME_PAIR	tr_review(COMPONENT*);
+  TIME_PAIR	tr_review(COMPONENT*)const;
   std::string	name()const		{return "sffm";}
   bool		ac_too()const		{return false;}
   bool		parse_numlist(CS&);
@@ -152,7 +153,7 @@ void EVAL_BM_SFFM::tr_eval(ELEMENT* d)const
   tr_finish_tdv(d, ev);
 }
 /*--------------------------------------------------------------------------*/
-TIME_PAIR EVAL_BM_SFFM::tr_review(COMPONENT* d)
+TIME_PAIR EVAL_BM_SFFM::tr_review(COMPONENT* d)const
 {
   double time = d->_sim->_time0 + d->_sim->_dtmin * .01;
   double old_time;
diff --git a/apps/bm_sin.cc b/apps/bm_sin.cc
index b5efb24..37404ac 100644
--- a/apps/bm_sin.cc
+++ b/apps/bm_sin.cc
@@ -1,4 +1,4 @@
-/*$Id: bm_sin.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: bm_sin.cc,v 26.138 2013/04/24 02:44:30 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -22,6 +22,7 @@
  * SPICE compatible SIN
  */
 //testing=script,complete 2005.10.07
+#include "globals.h"
 #include "e_elemnt.h"
 #include "u_lang.h"
 #include "l_denoise.h"
@@ -61,7 +62,7 @@ private: // override vitrual
 
   void		precalc_first(const CARD_LIST*);
   void		tr_eval(ELEMENT*)const;
-  TIME_PAIR	tr_review(COMPONENT*);
+  TIME_PAIR	tr_review(COMPONENT*)const;
   std::string	name()const		{return "sin";}
   bool		ac_too()const		{return false;}
   bool		parse_numlist(CS&);
@@ -169,7 +170,7 @@ void EVAL_BM_SIN::tr_eval(ELEMENT* d)const
   tr_finish_tdv(d, ev);
 }
 /*--------------------------------------------------------------------------*/
-TIME_PAIR EVAL_BM_SIN::tr_review(COMPONENT* d)
+TIME_PAIR EVAL_BM_SIN::tr_review(COMPONENT* d)const
 {
   double reltime = ioffset(d->_sim->_time0) + d->_sim->_dtmin * .01;
 
diff --git a/apps/bm_tanh.cc b/apps/bm_tanh.cc
index 2340a11..899ee01 100644
--- a/apps/bm_tanh.cc
+++ b/apps/bm_tanh.cc
@@ -1,4 +1,4 @@
-/*$Id: bm_tanh.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: bm_tanh.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -22,6 +22,7 @@
  * behavioral modeling hyperbolic tangent
  */
 //testing=script 2005.10.07
+#include "globals.h"
 #include "u_lang.h"
 #include "e_elemnt.h"
 #include "bm.h"
diff --git a/apps/bmm_semi.cc b/apps/bmm_semi.cc
index 0e441df..9142742 100644
--- a/apps/bmm_semi.cc
+++ b/apps/bmm_semi.cc
@@ -1,4 +1,4 @@
-/*$Id: bmm_semi.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: bmm_semi.cc,v 26.138 2013/04/24 02:44:30 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -23,6 +23,7 @@
  * Spice3 compatible "semiconductor resistor and capacitor"
  */
 //testing=script 2006.07.13
+#include "globals.h"
 #include "u_lang.h"
 #include "e_model.h" 
 #include "bm.h"
@@ -214,7 +215,7 @@ void EVAL_BM_SEMI_BASE::expand(const COMPONENT* d)
 }
 /*--------------------------------------------------------------------------*/
 void EVAL_BM_SEMI_BASE::precalc_first(const CARD_LIST* Scope)
-{
+{untested();
   assert(Scope);
   EVAL_BM_ACTION_BASE::precalc_first(Scope);
   _length.e_val(_default_length, Scope);
@@ -517,7 +518,7 @@ MODEL_SEMI_RESISTOR::MODEL_SEMI_RESISTOR()
 }
 /*--------------------------------------------------------------------------*/
 MODEL_SEMI_RESISTOR::MODEL_SEMI_RESISTOR(const MODEL_SEMI_RESISTOR& p)
-  :MODEL_SEMI_BASE(),
+  :MODEL_SEMI_BASE(p),
    _rsh(p._rsh)
 {
 }
diff --git a/apps/bmm_table.cc b/apps/bmm_table.cc
index 85830bd..ed2b58b 100644
--- a/apps/bmm_table.cc
+++ b/apps/bmm_table.cc
@@ -1,4 +1,4 @@
-/*$Id: bmm_table.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: bmm_table.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -23,6 +23,7 @@
  * table as a .model card
  */
 //testing=script 2006.04.18
+#include "globals.h"
 #include "u_lang.h"
 #include "e_elemnt.h"
 #include "m_spline.h"
diff --git a/apps/c_comand.cc b/apps/c_comand.cc
index 872143e..3a6d619 100644
--- a/apps/c_comand.cc
+++ b/apps/c_comand.cc
@@ -1,4 +1,4 @@
-/*$Id: c_comand.cc,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: c_comand.cc,v 26.138 2013/04/24 02:44:30 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -30,15 +30,6 @@ extern std::string head;
 /*--------------------------------------------------------------------------*/
 namespace {
 /*--------------------------------------------------------------------------*/
-class CMD_OPT : public CMD {
-public:
-  void do_it(CS& cmd, CARD_LIST*) {
-    static OPT o;
-    o.command(cmd);
-  }
-} p5;
-DISPATCHER<CMD>::INSTALL d5(&command_dispatcher, "options|set|width", &p5);
-/*--------------------------------------------------------------------------*/
 class CMD_END : public CMD {
 public:
   void do_it(CS&, CARD_LIST* Scope) {
@@ -89,8 +80,8 @@ public:
   void do_it(CS&, CARD_LIST* Scope) {
     switch (ENV::run_mode) {
     case rPRE_MAIN:	unreachable(); break;
-    case rINTERACTIVE:	itested();
-    case rSCRIPT:
+    case rINTERACTIVE:	
+    case rSCRIPT:	
     case rBATCH:	command("clear", Scope); exit(0); break;
     case rPRESET:	untested(); /*nothing*/ break;
     }
diff --git a/apps/c_delete.cc b/apps/c_delete.cc
index 2d7ee35..2ed744d 100644
--- a/apps/c_delete.cc
+++ b/apps/c_delete.cc
@@ -1,4 +1,4 @@
-/*$Id: c_delete.cc,v 26.131 2009/11/20 08:22:10 al Exp $ -*- C++ -*-
+/*$Id: c_delete.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -27,6 +27,7 @@
 // element will reappear next time an elaboration occurs, which is 
 // usually before anything else.
 
+#include "globals.h"
 #include "d_subckt.h"
 #include "c_comand.h"
 /*--------------------------------------------------------------------------*/
diff --git a/apps/c_modify.cc b/apps/c_modify.cc
index 18d6bed..f6a1315 100644
--- a/apps/c_modify.cc
+++ b/apps/c_modify.cc
@@ -1,4 +1,4 @@
-/*$Id: c_modify.cc,v 26.132 2009/11/24 04:26:37 al Exp $ -*- C++ -*-
+/*$Id: c_modify.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -20,6 +20,7 @@
  * 02110-1301, USA.
  */
 //testing=script,sparse 2006.07.17
+#include "globals.h"
 #include "e_elemnt.h"
 #include "u_cardst.h"
 #include "c_comand.h"
diff --git a/apps/c_prbcmd.cc b/apps/c_prbcmd.cc
index 0ed4412..6b1896e 100644
--- a/apps/c_prbcmd.cc
+++ b/apps/c_prbcmd.cc
@@ -1,4 +1,4 @@
-/*$Id: c_prbcmd.cc,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: c_prbcmd.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -33,6 +33,8 @@ namespace {
 /*--------------------------------------------------------------------------*/
 void do_probe(CS& cmd, PROBELIST *probes)
 {
+  assert(probes);
+
   CKT_BASE::_sim->set_command_none();
   enum {aADD, aDELETE, aNEW} action;
   SIM_MODE simtype = s_NONE;
@@ -112,7 +114,9 @@ class CMD_STORE : public CMD {
 public:
   void do_it(CS& cmd, CARD_LIST*)
   {
-    do_probe(cmd,PROBE_LISTS::store);
+    assert(_probe_lists);
+    assert(_probe_lists->store);
+    do_probe(cmd,_probe_lists->store);
   }
 } p0;
 DISPATCHER<CMD>::INSTALL d0(&command_dispatcher, "store", &p0);
@@ -121,7 +125,9 @@ class CMD_ALARM : public CMD {
 public:
   void do_it(CS& cmd, CARD_LIST*)
   {
-    do_probe(cmd,PROBE_LISTS::alarm);
+    assert(_probe_lists);
+    assert(_probe_lists->alarm);
+    do_probe(cmd,_probe_lists->alarm);
   }
 } p1;
 DISPATCHER<CMD>::INSTALL d1(&command_dispatcher, "alarm", &p1);
@@ -131,7 +137,9 @@ public:
   void do_it(CS& cmd, CARD_LIST*)
   {
     IO::plotset = true;
-    do_probe(cmd,PROBE_LISTS::plot);
+    assert(_probe_lists);
+    assert(_probe_lists->plot);
+    do_probe(cmd,_probe_lists->plot);
   }
 } p2;
 DISPATCHER<CMD>::INSTALL d2(&command_dispatcher, "iplot|plot", &p2);
@@ -141,7 +149,9 @@ public:
   void do_it(CS& cmd, CARD_LIST*)
   {
     IO::plotset = false;
-    do_probe(cmd,PROBE_LISTS::print);
+    assert(_probe_lists);
+    assert(_probe_lists->print);
+    do_probe(cmd,_probe_lists->print);
   }
 } p3;
 DISPATCHER<CMD>::INSTALL d3(&command_dispatcher, "iprint|print|probe", &p3);
diff --git a/src/configure.old b/apps/configure
similarity index 79%
copy from src/configure.old
copy to apps/configure
index dad0a68..e39f96f 100755
--- a/src/configure.old
+++ b/apps/configure
@@ -1,13 +1,32 @@
 #!/bin/sh
-rm -f gnucap-modelgen
-ln -s ../modelgen/O/gnucap-modelgen .
-mkdir O
+# configure for library (shared object)
 
-echo "CCFLAGS = \\" >Make.ccflags
-echo "LIBS = -ldl \\" >Make.libs
-    
-(cd O; rm -f gnucap-modelgen; ln -s ../../modelgen/O/gnucap-modelgen gnucap-modelgen)
+mkdir -p O
+#-------------  Stuff added to enable --prefix  --------------
+if test "x$1" != "x"; then
+    # echo Found input parameter -- $1
+    #  Now see if the parameter is --prefix=
+    if test "x${1#--prefix=}" != "x$1"; then
+        # echo "Found --prefix in input args.  Setting prefix directory."
+        prefix=${1#--prefix=}
+    else
+        # echo "Found unrecognized parameter in input args."
+        #  Just use the default prefix dir.
+        prefix=/usr/local
+    fi
+ 
+else
+    # echo "No input parameter found."
+    #  Just use the default prefix dir
+    prefix=/usr/local
+fi
+
+sed -e "s#/usr/local#$prefix#" ../Makefile.template > Makefile
 
+#----------------------------------------------------------------
+echo "CCFLAGS = -fPIC \\" >Make.ccflags
+echo "LIBS =  \\" >Make.libs
+    
 rm -f a.out
 g++ -lreadline -ltermcap test_readline.cc
 if [ -f a.out ] ; then
@@ -19,22 +38,24 @@ else
 fi
 rm -f a.out
 
-echo "-DUNIX -O2 -DNDEBUG -I.. -I. -W" >>Make.ccflags
+echo "-DUNIX -O2 -DNDEBUG -I. -I../include -I../../include -W" >>Make.ccflags
 echo "" >>Make.libs
+echo "PREFIX = " $prefix >>Make.libs
 echo "" >>Make.libs
 
 cat <<CAT_EOF >Make.sys
 #------------------------------------------------------------------------
 VPATH = .:..
 CCC = g++
+TARGET_EXT = .so
 
-LDFLAGS = -rdynamic
+LDFLAGS = -shared
 
 .SUFFIXES:
 .SUFFIXES: .o .cc
 .cc.o:; \$(CCC) \$(CCFLAGS) -c \$<
 #------------------------------------------------------------------------
-\$(TARGET): \$(OBJS)
+\$(TARGET)\$(TARGET_EXT): \$(TARGET_DEPENDS)
 	rm -f \$@
 	\$(CCC) \$(CCFLAGS) \$(OBJS) \$(LIBS) \$(LDFLAGS) -o \$@
 #------------------------------------------------------------------------
@@ -43,27 +64,4 @@ CAT_EOF
 cat Make.ccflags Make.libs Make.sys >Make2
 rm  Make.ccflags Make.libs Make.sys
 
-#-------------  Stuff added to enable --prefix  --------------
-if test "x$1" != "x"; then
-    # echo Found input parameter -- $1
-    #  Now see if the parameter is --prefix=
-    if test "x${1#--prefix=}" != "x$1"; then
-        # echo "Found --prefix in input args.  Setting prefix directory."
-        prefix=${1#--prefix=}
-    else
-        # echo "Found unrecognized parameter in input args."
-        #  Just use the default prefix dir.
-        prefix=/usr/local
-    fi
- 
-else
-    # echo "No input parameter found."
-    #  Just use the default prefix dir
-    prefix=/usr/local
-fi
-
-sed -e "s#/usr/local#$prefix#" Makefile.template > Makefile
-
-#----------------------------------------------------------------
-
 exit 0
diff --git a/apps/d_admit.cc b/apps/d_admit.cc
index dc230f8..b51e36d 100644
--- a/apps/d_admit.cc
+++ b/apps/d_admit.cc
@@ -1,4 +1,4 @@
-/*$Id: d_admit.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: d_admit.cc,v 26.138 2013/04/24 02:44:30 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -30,6 +30,7 @@
  *		_loss0 == 1/R. (mhos)
  */
 //testing=script 2006.07.17
+#include "globals.h"
 #include "e_elemnt.h"
 /*--------------------------------------------------------------------------*/
 namespace {
@@ -146,7 +147,7 @@ bool DEV_ADMITTANCE::do_tr()
 /*--------------------------------------------------------------------------*/
 void DEV_ADMITTANCE::do_ac()
 {
-  if (using_ac_eval()) {untested();
+  if (using_ac_eval()) {itested();
     ac_eval();
     _acg = _ev;
   }else{
diff --git a/apps/d_cap.cc b/apps/d_cap.cc
index 4e6fc9b..6897c44 100644
--- a/apps/d_cap.cc
+++ b/apps/d_cap.cc
@@ -1,4 +1,4 @@
-/*$Id: d_cap.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: d_cap.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -30,6 +30,7 @@
  * m.x = volts, m.c0 = amps,    acg = m.c1 = mhos
  */
 //testing=script 2006.07.17
+#include "globals.h"
 #include "e_storag.h"
 /*--------------------------------------------------------------------------*/
 namespace {
diff --git a/apps/d_cccs.cc b/apps/d_cccs.cc
index d21a9d2..b2eee0f 100644
--- a/apps/d_cccs.cc
+++ b/apps/d_cccs.cc
@@ -1,4 +1,4 @@
-/*$Id: d_cccs.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: d_cccs.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -24,6 +24,7 @@
  * Then adjust the gain to account for the sense element.
  */
 //testing=script,complete 2008.10.09
+#include "globals.h"
 #include "e_ccsrc.h"
 /*--------------------------------------------------------------------------*/
 namespace {
diff --git a/apps/d_ccvs.cc b/apps/d_ccvs.cc
index 0c19dd1..a94c9d4 100644
--- a/apps/d_ccvs.cc
+++ b/apps/d_ccvs.cc
@@ -1,4 +1,4 @@
-/*$Id: d_ccvs.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: d_ccvs.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -24,6 +24,7 @@
  * Then adjust the gain to account for the sense element.
  */
 //testing=script 2006.07.17
+#include "globals.h"
 #include "e_ccsrc.h"
 /*--------------------------------------------------------------------------*/
 namespace {
diff --git a/apps/d_coil.cc b/apps/d_coil.cc
index eb0952e..3dab3a1 100644
--- a/apps/d_coil.cc
+++ b/apps/d_coil.cc
@@ -1,4 +1,4 @@
-/*$Id: d_coil.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: d_coil.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -26,6 +26,7 @@
  * m.x = volts, m.c0 = amps, acg = m.c1 = mhos
  */
 //testing=script 2008.10.09
+#include "globals.h"
 #include "e_subckt.h"
 #include "e_ccsrc.h"
 #include "e_storag.h"
diff --git a/apps/d_cs.cc b/apps/d_cs.cc
index e2aea54..9abb1f8 100644
--- a/apps/d_cs.cc
+++ b/apps/d_cs.cc
@@ -1,4 +1,4 @@
-/*$Id: d_cs.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: d_cs.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -23,6 +23,7 @@
  * x = 0, y.f0 = nothing, ev = y.f1 = amps.
  */
 //testing=script 2006.07.17
+#include "globals.h"
 #include "e_elemnt.h"
 /*--------------------------------------------------------------------------*/
 namespace {
diff --git a/apps/d_meter.cc b/apps/d_meter.cc
new file mode 100644
index 0000000..7762e55
--- /dev/null
+++ b/apps/d_meter.cc
@@ -0,0 +1,93 @@
+/*$Id: d_meter.cc,v 26.138 2013/04/24 02:44:30 al Exp $ -*- C++ -*-
+ * Copyright (C) 2010 Albert Davis
+ * Author: Albert Davis <aldavis at gnu.org>
+ *
+ * This file is part of "Gnucap", the Gnu Circuit Analysis Package
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
+ * 02110-1301, USA.
+ *------------------------------------------------------------------
+ * 2-port "meter" device
+ * does nothing to the circuit, but has probes
+ */
+#include "globals.h"
+#include "e_elemnt.h"
+#include "u_xprobe.h"
+/*--------------------------------------------------------------------------*/
+namespace {
+/*--------------------------------------------------------------------------*/
+class DEV : public ELEMENT {
+private:
+  explicit DEV(const DEV& p) :ELEMENT(p) {}
+public:
+  explicit DEV()		:ELEMENT() {}
+private: // override virtual
+  char	   id_letter()const	{return '\0';}
+  std::string value_name()const {return "";}
+  std::string dev_type()const	{return "meter";}
+  int	   max_nodes()const	{return 4;}
+  int	   min_nodes()const	{return 4;}
+  int	   matrix_nodes()const	{return 4;}
+  int	   net_nodes()const	{return 4;}
+  CARD*	   clone()const		{return new DEV(*this);}
+  void	   tr_iwant_matrix()	{}
+  void	   ac_iwant_matrix()	{}
+  void     precalc_last();
+  double   tr_involts()const	{return dn_diff(_n[IN1].v0(), _n[IN2].v0());}
+  double   tr_involts_limited()const {return tr_involts();}
+  COMPLEX  ac_involts()const	{return _n[IN1]->vac() - _n[IN2]->vac();}
+  double   tr_probe_num(const std::string&)const;
+  XPROBE   ac_probe_ext(const std::string&)const;
+
+  std::string port_name(int i)const {
+    assert(i >= 0);
+    assert(i < 4);
+    static std::string names[] = {"outp", "outn", "inp", "inn"};
+    return names[i];
+  }
+};
+/*--------------------------------------------------------------------------*/
+/*--------------------------------------------------------------------------*/
+void DEV::precalc_last()
+{
+  ELEMENT::precalc_last();
+  set_constant(true);
+  set_converged();
+}
+/*--------------------------------------------------------------------------*/
+double DEV::tr_probe_num(const std::string& x)const
+{
+  if (Umatch(x, "gain ")) {
+    return tr_outvolts() / tr_involts();
+  }else{
+    return ELEMENT::tr_probe_num(x);
+  }
+}
+/*--------------------------------------------------------------------------*/
+XPROBE DEV::ac_probe_ext(const std::string& x)const
+{
+  if (Umatch(x, "gain ")) {
+    return XPROBE(ac_outvolts() / ac_involts());
+  }else{
+    return ELEMENT::ac_probe_ext(x);
+  }
+}
+/*--------------------------------------------------------------------------*/
+/*--------------------------------------------------------------------------*/
+DEV p1;
+DISPATCHER<CARD>::INSTALL d1(&device_dispatcher, "meter", &p1);
+}
+/*--------------------------------------------------------------------------*/
+/*--------------------------------------------------------------------------*/
diff --git a/apps/d_poly_cap.cc b/apps/d_poly_cap.cc
index 2d997b1..5adabf9 100644
--- a/apps/d_poly_cap.cc
+++ b/apps/d_poly_cap.cc
@@ -1,4 +1,4 @@
-/*$Id: d_poly_cap.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: d_poly_cap.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -29,6 +29,7 @@
  * node[2*i] and node[2*i+1] correspond to val[i+1]
  */
 //testing=script 2006.07.17
+#include "globals.h"
 #include "e_storag.h"
 /*--------------------------------------------------------------------------*/
 namespace {
diff --git a/apps/d_poly_g.cc b/apps/d_poly_g.cc
index f06e591..4bb4cfe 100644
--- a/apps/d_poly_g.cc
+++ b/apps/d_poly_g.cc
@@ -1,4 +1,4 @@
-/*$Id: d_poly_g.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: d_poly_g.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -29,6 +29,7 @@
  * node[2*i] and node[2*i+1] correspond to val[i+1]
  */
 //testing=script 2006.07.17
+#include "globals.h"
 #include "e_elemnt.h"
 /*--------------------------------------------------------------------------*/
 namespace {
diff --git a/apps/d_res.cc b/apps/d_res.cc
index e05b144..39ebf9d 100644
--- a/apps/d_res.cc
+++ b/apps/d_res.cc
@@ -1,4 +1,4 @@
-/*$Id: d_res.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: d_res.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -24,6 +24,7 @@
  * m.x = volts, m.c0 = amps, acg = m.c1 = mhos.
  */
 //testing=script,complete 2006.07.17
+#include "globals.h"
 #include "e_elemnt.h"
 /*--------------------------------------------------------------------------*/
 namespace {
diff --git a/apps/d_switch.cc b/apps/d_switch.cc
index 19ea2d5..5a7970a 100644
--- a/apps/d_switch.cc
+++ b/apps/d_switch.cc
@@ -1,4 +1,4 @@
-/*$Id: d_switch.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: d_switch.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -28,6 +28,7 @@
  * model:   .model mname CSW <args>
  */
 //testing=script 2006.06.14
+#include "globals.h"
 #include "e_model.h"
 #include "e_elemnt.h"
 /*--------------------------------------------------------------------------*/
diff --git a/apps/d_trln.cc b/apps/d_trln.cc
index 319fae9..621b7ad 100644
--- a/apps/d_trln.cc
+++ b/apps/d_trln.cc
@@ -1,4 +1,4 @@
-/*$Id: d_trln.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: d_trln.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -22,6 +22,7 @@
  * Transmission line. (ideal lossless.)
  */
 //testing=script,sparse 2006.07.17
+#include "globals.h"
 #include "m_wave.h"
 #include "e_elemnt.h"
 /*--------------------------------------------------------------------------*/
diff --git a/apps/d_vcg.cc b/apps/d_vcg.cc
index bb79c78..2fcbaaf 100644
--- a/apps/d_vcg.cc
+++ b/apps/d_vcg.cc
@@ -1,4 +1,4 @@
-/*$Id: d_vcg.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: d_vcg.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -30,6 +30,7 @@
  *		_loss0 == 1/R. (mhos)
  */
 //testing=script 2006.07.17
+#include "globals.h"
 #include "e_elemnt.h"
 /*--------------------------------------------------------------------------*/
 namespace {
diff --git a/apps/d_vcr.cc b/apps/d_vcr.cc
index 597dbb5..76b165a 100644
--- a/apps/d_vcr.cc
+++ b/apps/d_vcr.cc
@@ -1,4 +1,4 @@
-/*$Id: d_vcr.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: d_vcr.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -25,6 +25,7 @@
  * _loss0 == 1/R. (mhos)
  */
 //testing=script,complete 2006.07.17
+#include "globals.h"
 #include "e_elemnt.h"
 /*--------------------------------------------------------------------------*/
 namespace {
diff --git a/apps/d_vcvs.cc b/apps/d_vcvs.cc
index 982dd54..4e8bbbd 100644
--- a/apps/d_vcvs.cc
+++ b/apps/d_vcvs.cc
@@ -1,4 +1,4 @@
-/*$Id: d_vcvs.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: d_vcvs.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -23,6 +23,7 @@
  * temporary kluge: it has resistance
  */
 //testing=script,complete 2006.07.17
+#include "globals.h"
 #include "e_elemnt.h"
 /*--------------------------------------------------------------------------*/
 namespace {
diff --git a/apps/d_vs.cc b/apps/d_vs.cc
index d9d2302..0b6ead4 100644
--- a/apps/d_vs.cc
+++ b/apps/d_vs.cc
@@ -1,4 +1,4 @@
-/*$Id: d_vs.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: d_vs.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -23,6 +23,7 @@
  * temporary kluge: it has resistance
  */
 //testing=script 2006.07.17
+#include "globals.h"
 #include "e_elemnt.h"
 /*--------------------------------------------------------------------------*/
 namespace {
diff --git a/apps/func_core.cc b/apps/func_core.cc
index 265069a..55cd162 100644
--- a/apps/func_core.cc
+++ b/apps/func_core.cc
@@ -1,4 +1,4 @@
-/*$Id: func_core.cc,v 26.127 2009/11/09 16:06:11 al Exp $ -*- C++ -*-
+/*$Id: func_core.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2008 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -20,6 +20,7 @@
  * 02110-1301, USA.
  *------------------------------------------------------------------
  */
+#include "globals.h"
 #include "u_parameter.h"
 #include "u_function.h"
 /*--------------------------------------------------------------------------*/
@@ -129,7 +130,7 @@ public:
   std::string eval(CS& Cmd, const CARD_LIST* Scope)const
   {
     PARAMETER<double> x, y, z;
-    Cmd >> x >> y;
+    Cmd >> x >> y >> z;
     x.e_val(NOT_INPUT, Scope);
     y.e_val(NOT_INPUT, Scope);
     z.e_val(NOT_INPUT, Scope);
diff --git a/apps/lang_spectre.cc b/apps/lang_spectre.cc
index d7c9340..1a0da81 100644
--- a/apps/lang_spectre.cc
+++ b/apps/lang_spectre.cc
@@ -1,4 +1,4 @@
-/*$Id: lang_spectre.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: lang_spectre.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2007 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -19,6 +19,7 @@
  * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
  * 02110-1301, USA.
  */
+#include "globals.h"
 #include "c_comand.h"
 #include "d_dot.h"
 #include "d_coment.h"
@@ -30,6 +31,7 @@ namespace {
 /*--------------------------------------------------------------------------*/
 class LANG_SPECTRE : public LANGUAGE {
 public:
+  ~LANG_SPECTRE() {itested();}
   std::string name()const {return "spectre";}
   bool case_insensitive()const {return false;}
   UNITS units()const {return uSI;}
diff --git a/apps/lang_spice.cc b/apps/lang_spice.cc
index da487c5..7ee6611 100644
--- a/apps/lang_spice.cc
+++ b/apps/lang_spice.cc
@@ -1,4 +1,4 @@
-/*$Id: lang_spice.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: lang_spice.cc,v 26.138 2013/04/24 02:44:30 al Exp $ -*- C++ -*-
  * Copyright (C) 2006 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -20,14 +20,13 @@
  * 02110-1301, USA.
  */
 //testing=script 2007.07.13
+#include "globals.h"
 #include "u_status.h"
 #include "c_comand.h"
 #include "d_dot.h"
 #include "d_coment.h"
 #include "d_subckt.h"
 #include "u_lang.h"
-
-// header hack
 #include "d_logic.h"
 #include "bm.h"
 /*--------------------------------------------------------------------------*/
@@ -77,6 +76,7 @@ private: // local
 /*--------------------------------------------------------------------------*/
 class LANG_SPICE : public LANG_SPICE_BASE {
 public:
+  ~LANG_SPICE() {itested();}
   std::string name()const {return "spice";}
   bool case_insensitive()const {return true;}
   UNITS units()const {return uSPICE;}
@@ -87,6 +87,7 @@ DISPATCHER<LANGUAGE>::INSTALL
 /*--------------------------------------------------------------------------*/
 class LANG_ACS : public LANG_SPICE_BASE {
 public:
+  ~LANG_ACS() {itested();}
   std::string name()const {return "acs";}
   bool case_insensitive()const {return true;}
   UNITS units()const {return uSPICE;}
@@ -577,6 +578,7 @@ void LANG_SPICE_BASE::parse_module_body(CS& cmd, MODEL_SUBCKT* x, CARD_LIST* Sco
     }
   }catch (Exception_End_Of_Input& e) {
   }
+  
 }
 /*--------------------------------------------------------------------------*/
 COMPONENT* LANG_SPICE_BASE::parse_instance(CS& cmd, COMPONENT* x)
@@ -753,7 +755,7 @@ void LANG_SPICE_BASE::print_type(OMSTREAM& o, const COMPONENT* x)
   assert(x);
   if (x->print_type_in_spice()) {
     o << "  " << x->dev_type();
-  }else if (fix_case(x->short_label()[0]) != fix_case(x->id_letter())) {itested();
+  }else if (fix_case(x->short_label()[0]) != fix_case(x->id_letter())) {untested();
     o << "  " << x->dev_type();
   }else{
     // don't print type
@@ -913,7 +915,7 @@ static void getmerge(CS& cmd, Skip_Header skip_header, CARD_LIST* Scope)
 	file.get_line("lib " + section_name + '>');
 	if (file.umatch(".lib " + section_name + ' ')) {
 	  lang_spice.parse_module_body(file, NULL, Scope, section_name,
-			lang_spice.NO_EXIT_ON_BLANK, ".endl {" + section_name + "}");
+			       lang_spice.NO_EXIT_ON_BLANK, ".endl {" + section_name + "}");
 	}else{
 	  // skip it
 	}
@@ -970,7 +972,9 @@ public:
   void do_it(CS& cmd, CARD_LIST* Scope)
   {untested();
     SET_RUN_MODE xx(rPRESET);
+      untested();
     getmerge(cmd, NO_HEADER, Scope);
+      untested();
   }
 } p4;
 DISPATCHER<CMD>::INSTALL d4(&command_dispatcher, ".merge|merge", &p4);
diff --git a/apps/lang_verilog.cc b/apps/lang_verilog.cc
index 46bf001..62d6060 100644
--- a/apps/lang_verilog.cc
+++ b/apps/lang_verilog.cc
@@ -1,4 +1,4 @@
-/*$Id: lang_verilog.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: lang_verilog.cc,v 26.138 2013/04/24 02:44:30 al Exp $ -*- C++ -*-
  * Copyright (C) 2007 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -19,6 +19,7 @@
  * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
  * 02110-1301, USA.
  */
+#include "globals.h"
 #include "c_comand.h"
 #include "d_dot.h"
 #include "d_coment.h"
@@ -34,6 +35,7 @@ class LANG_VERILOG : public LANGUAGE {
   enum {INACTIVE = -1};
 public:
   LANG_VERILOG() : arg_count(INACTIVE) {}
+  ~LANG_VERILOG() {itested();}
   std::string name()const {return "verilog";}
   bool case_insensitive()const {return false;}
   UNITS units()const {return uSI;}
@@ -133,7 +135,7 @@ static void parse_args_instance(CS& cmd, CARD* x)
     }else{
       // by order
       int index = 1;
-      while (cmd.is_alnum()) {
+      while (cmd.is_alnum() || cmd.match1("+-.")) {
 	unsigned here = cmd.cursor();
 	try{
 	  std::string value = cmd.ctos(",)", "", "");
@@ -190,7 +192,7 @@ static void parse_ports(CS& cmd, COMPONENT* x)
     }
     cmd >> ')';
   }else{untested();
-    cmd.warn(bDANGER, "'(' required");
+    cmd.warn(bDANGER, "'(' required (parse ports)");
   }
 }
 /*--------------------------------------------------------------------------*/
diff --git a/apps/measure_at.cc b/apps/measure_at.cc
index 3686056..5ca834a 100644
--- a/apps/measure_at.cc
+++ b/apps/measure_at.cc
@@ -1,4 +1,4 @@
-/*$Id: measure_at.cc,v 26.131 2009/11/20 08:22:10 al Exp $ -*- C++ -*-
+/*$Id: measure_at.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2008 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -20,6 +20,7 @@
  * 02110-1301, USA.
  *------------------------------------------------------------------
  */
+#include "globals.h"
 #include "u_parameter.h"
 #include "m_wave.h"
 #include "u_function.h"
diff --git a/apps/measure_average.cc b/apps/measure_average.cc
index 06513e0..971aeba 100644
--- a/apps/measure_average.cc
+++ b/apps/measure_average.cc
@@ -1,4 +1,4 @@
-/*$Id: measure_average.cc,v 26.131 2009/11/20 08:22:10 al Exp $ -*- C++ -*-
+/*$Id: measure_average.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2008 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -20,6 +20,7 @@
  * 02110-1301, USA.
  *------------------------------------------------------------------
  */
+#include "globals.h"
 #include "u_parameter.h"
 #include "m_wave.h"
 #include "u_function.h"
diff --git a/apps/measure_cross.cc b/apps/measure_cross.cc
index 82593e0..32b85cc 100644
--- a/apps/measure_cross.cc
+++ b/apps/measure_cross.cc
@@ -1,4 +1,4 @@
-/*$Id: measure_cross.cc,v 26.131 2009/11/20 08:22:10 al Exp $ -*- C++ -*-
+/*$Id: measure_cross.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2008 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -20,6 +20,7 @@
  * 02110-1301, USA.
  *------------------------------------------------------------------
  */
+#include "globals.h"
 #include "u_parameter.h"
 #include "m_wave.h"
 #include "u_function.h"
diff --git a/apps/measure_eval.cc b/apps/measure_eval.cc
index 134693a..4d3e9d8 100644
--- a/apps/measure_eval.cc
+++ b/apps/measure_eval.cc
@@ -1,4 +1,4 @@
-/*$Id: measure_eval.cc,v 26.131 2009/11/20 08:22:10 al Exp $ -*- C++ -*-
+/*$Id: measure_eval.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2008 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -21,8 +21,7 @@
  *------------------------------------------------------------------
  */
 #include "u_parameter.h"
-//#include "globals.h"
-//#include "m_wave.h"
+#include "globals.h"
 #include "u_function.h"
 /*--------------------------------------------------------------------------*/
 namespace {
diff --git a/apps/measure_integral.cc b/apps/measure_integral.cc
index 384c3be..ab0131e 100644
--- a/apps/measure_integral.cc
+++ b/apps/measure_integral.cc
@@ -1,4 +1,4 @@
-/*$Id: measure_integral.cc,v 26.131 2009/11/20 08:22:10 al Exp $ -*- C++ -*-
+/*$Id: measure_integral.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2008 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -20,6 +20,7 @@
  * 02110-1301, USA.
  *------------------------------------------------------------------
  */
+#include "globals.h"
 #include "u_parameter.h"
 #include "m_wave.h"
 #include "u_function.h"
diff --git a/apps/measure_max.cc b/apps/measure_max.cc
index 83553b4..ef05cdd 100644
--- a/apps/measure_max.cc
+++ b/apps/measure_max.cc
@@ -1,4 +1,4 @@
-/*$Id: measure_max.cc,v 26.131 2009/11/20 08:22:10 al Exp $ -*- C++ -*-
+/*$Id: measure_max.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2008 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -20,6 +20,7 @@
  * 02110-1301, USA.
  *------------------------------------------------------------------
  */
+#include "globals.h"
 #include "u_parameter.h"
 #include "m_wave.h"
 #include "u_function.h"
diff --git a/apps/measure_min.cc b/apps/measure_min.cc
index af0e45b..1576eb1 100644
--- a/apps/measure_min.cc
+++ b/apps/measure_min.cc
@@ -1,4 +1,4 @@
-/*$Id: measure_min.cc,v 26.131 2009/11/20 08:22:10 al Exp $ -*- C++ -*-
+/*$Id: measure_min.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2008 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -20,6 +20,7 @@
  * 02110-1301, USA.
  *------------------------------------------------------------------
  */
+#include "globals.h"
 #include "u_parameter.h"
 #include "m_wave.h"
 #include "u_function.h"
diff --git a/apps/measure_rms.cc b/apps/measure_rms.cc
index e5d0052..b38567a 100644
--- a/apps/measure_rms.cc
+++ b/apps/measure_rms.cc
@@ -1,4 +1,4 @@
-/*$Id: measure_rms.cc,v 26.131 2009/11/20 08:22:10 al Exp $ -*- C++ -*-
+/*$Id: measure_rms.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2008 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -20,6 +20,7 @@
  * 02110-1301, USA.
  *------------------------------------------------------------------
  */
+#include "globals.h"
 #include "u_parameter.h"
 #include "m_wave.h"
 #include "u_function.h"
diff --git a/apps/measure_slewrate.cc b/apps/measure_slewrate.cc
index a8e1f29..71145db 100644
--- a/apps/measure_slewrate.cc
+++ b/apps/measure_slewrate.cc
@@ -1,4 +1,4 @@
-/*$Id: measure_slewrate.cc,v 26.131 2009/11/20 08:22:10 al Exp $ -*- C++ -*-
+/*$Id: measure_slewrate.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2008 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -20,6 +20,7 @@
  * 02110-1301, USA.
  *------------------------------------------------------------------
  */
+#include "globals.h"
 #include "l_compar.h"
 #include "u_parameter.h"
 #include "m_wave.h"
diff --git a/apps/s__init.cc b/apps/s__init.cc
index c8570d9..240077e 100644
--- a/apps/s__init.cc
+++ b/apps/s__init.cc
@@ -1,4 +1,4 @@
-/* $Id: s__init.cc,v 26.135 2009/12/02 09:26:53 al Exp $
+/* $Id: s__init.cc,v 26.137 2010/04/10 02:37:05 al Exp $
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -27,7 +27,9 @@
 #include "s__.h"
 /*--------------------------------------------------------------------------*/
 void SIM::command_base(CS& cmd)
-{  reset_timers();
+{  
+  assert(_sim);
+  reset_timers();
   _sim->reset_iteration_counter(_sim->_mode);
   _sim->reset_iteration_counter(iPRINTSTEP);
   
@@ -65,7 +67,11 @@ void SIM::command_base(CS& cmd)
 /*--------------------------------------------------------------------------*/
 SIM::~SIM()
 {
-  _sim->uninit();
+  //assert(!_scope);
+  if (_sim) {
+    _sim->uninit();
+  }else{itested();
+  }
 }
 /*--------------------------------------------------------------------------*/
 void SIM::reset_timers()
diff --git a/apps/s__out.cc b/apps/s__out.cc
index 9526c77..9937122 100644
--- a/apps/s__out.cc
+++ b/apps/s__out.cc
@@ -1,4 +1,4 @@
-/*$Id: s__out.cc,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: s__out.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -33,19 +33,19 @@
  */
 const PROBELIST& SIM::alarmlist()const
 {
-  return PROBE_LISTS::alarm[_sim->_mode];
+  return _probe_lists->alarm[_sim->_mode];
 }
 const PROBELIST& SIM::plotlist()const
 {
-  return PROBE_LISTS::plot[_sim->_mode];
+  return _probe_lists->plot[_sim->_mode];
 }
 const PROBELIST& SIM::printlist()const
 {
-  return PROBE_LISTS::print[_sim->_mode];
+  return _probe_lists->print[_sim->_mode];
 }
 const PROBELIST& SIM::storelist()const
 {
-  return PROBE_LISTS::store[_sim->_mode];
+  return _probe_lists->store[_sim->_mode];
 }
 /*--------------------------------------------------------------------------*/
 /* SIM::out: output the data, "keep" for ac reference
diff --git a/apps/s__solve.cc b/apps/s__solve.cc
index 5d8d45f..e4041c0 100644
--- a/apps/s__solve.cc
+++ b/apps/s__solve.cc
@@ -1,4 +1,4 @@
-/*$Id: s__solve.cc,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: s__solve.cc,v 26.138 2013/04/24 02:44:30 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -41,6 +41,7 @@ static bool converged = false;
 /*--------------------------------------------------------------------------*/
 bool SIM::solve(OPT::ITL itl, TRACE trace)
 {
+  assert(_sim->_loadq.empty());
   converged = false;
   int convergedcount = 0;
   
@@ -77,12 +78,15 @@ bool SIM::solve(OPT::ITL itl, TRACE trace)
     }
     if (convergedcount <= OPT::itermin) {
       converged = false;
+    }else{
     }
       
     if (!converged || !OPT::fbbypass || _sim->_damp < .99) {
       set_damp();
       load_matrix();
       solve_equations();
+    }else{
+      _sim->_loadq.clear();
     }
   }while (!converged && !_sim->exceeds_iteration_limit(itl));
 
@@ -92,7 +96,7 @@ bool SIM::solve(OPT::ITL itl, TRACE trace)
 bool SIM::solve_with_homotopy(OPT::ITL itl, TRACE trace)
 {
   solve(itl, trace);
-  trace2("plain", ::status.iter[iSTEP], OPT::gmin);
+  trace2("plain", _sim->_iter[iSTEP], OPT::gmin);
   if (!converged && OPT::itl[OPT::SSTEP] > 0) {
     int save_itermin = OPT::itermin;
     OPT::itermin = 0;
@@ -195,8 +199,9 @@ void SIM::clear_arrays(void)
     _sim->_aa.zero();
     _sim->_aa.dezero(OPT::gmin);		/* gmin fudge */
     std::fill_n(_sim->_i, _sim->_aa.size()+1, 0);
+  }else{
   }
-  _sim->_loadq.clear();
+  assert(_sim->_loadq.empty());
 }
 /*--------------------------------------------------------------------------*/
 void SIM::evaluate_models()
@@ -246,6 +251,7 @@ void SIM::load_matrix()
     _sim->_loadq.clear();
     CARD_LIST::card_list.tr_load();
   }
+  assert(_sim->_loadq.empty());
   ::status.load.stop();
 }
 /*--------------------------------------------------------------------------*/
diff --git a/apps/s_ac.cc b/apps/s_ac.cc
index 300115c..3fcd0bb 100644
--- a/apps/s_ac.cc
+++ b/apps/s_ac.cc
@@ -1,4 +1,4 @@
-/*$Id: s_ac.cc,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: s_ac.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -22,6 +22,7 @@
  * ac analysis top
  */
 //testing=script 2008.08.06
+#include "globals.h"
 #include "u_sim_data.h"
 #include "u_status.h"
 #include "u_parameter.h"
@@ -88,6 +89,7 @@ void AC::do_it(CS& Cmd, CARD_LIST* Scope)
   }
   _sim->_acx.unallocate();
   _sim->unalloc_vectors();
+  _scope = NULL;
   
   ::status.ac.stop();
   ::status.total.stop();
diff --git a/apps/s_dc.cc b/apps/s_dc.cc
index 6708721..a2606ef 100644
--- a/apps/s_dc.cc
+++ b/apps/s_dc.cc
@@ -1,4 +1,4 @@
-/*$Id: s_dc.cc,v 26.132 2009/11/24 04:26:37 al Exp $ -*- C++ -*-
+/*$Id: s_dc.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -22,6 +22,7 @@
  * dc analysis top
  */
 //testing=script,complete 2006.07.14
+#include "globals.h"
 #include "u_status.h"
 #include "u_prblst.h"
 #include "u_cardst.h"
@@ -98,6 +99,7 @@ void DC::do_it(CS& Cmd, CARD_LIST* Scope)
   ::status.dc.reset().start();
   _sim->_temp_c = temp_c_in;
   command_base(Cmd);
+  _scope = NULL;
   ::status.dc.stop();
 }
 /*--------------------------------------------------------------------------*/
@@ -110,6 +112,7 @@ void OP::do_it(CS& Cmd, CARD_LIST* Scope)
   ::status.op.reset().start();
   _sim->_temp_c = temp_c_in;
   command_base(Cmd);
+  _scope = NULL;
   ::status.op.stop();
 }
 /*--------------------------------------------------------------------------*/
@@ -132,10 +135,10 @@ DCOP::DCOP()
   }
   
   //BUG// in SIM.  should be initialized there.
-  _sim->_genout=0.;
+  //_sim->_genout=0.;
   temp_c_in=OPT::temp_c;
   _out=IO::mstdout;
-  _sim->_uic=false;
+  //_sim->_uic=false;
 }
 /*--------------------------------------------------------------------------*/
 void DCOP::finish(void)
@@ -146,6 +149,7 @@ void DCOP::finish(void)
       _zap[ii]->dec_probes();
       _zap[ii]->precalc_first();
       _zap[ii]->precalc_last();
+      _zap[ii] = NULL;
     }else{
     }
   }
diff --git a/apps/s_fo.cc b/apps/s_fo.cc
index b6c6ce5..a021f77 100644
--- a/apps/s_fo.cc
+++ b/apps/s_fo.cc
@@ -1,4 +1,4 @@
-/*$Id: s_fo.cc,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: s_fo.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -24,6 +24,7 @@
  * outputs results of fft
  */
 //testing=script 2007.11.21
+#include "globals.h"
 #include "u_sim_data.h"
 #include "u_status.h"
 #include "m_phase.h"
@@ -105,7 +106,8 @@ void FOURIER::do_it(CS& Cmd, CARD_LIST* Scope)
   _sim->unalloc_vectors();
   _sim->_lu.unallocate();
   _sim->_aa.unallocate();
-  
+  _scope = NULL;
+
   ::status.four.stop();
   ::status.total.stop();
   
diff --git a/apps/s_tr.cc b/apps/s_tr.cc
index 6ffcd2d..b091c0b 100644
--- a/apps/s_tr.cc
+++ b/apps/s_tr.cc
@@ -1,4 +1,4 @@
-/*$Id: s_tr.cc,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: s_tr.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -22,12 +22,15 @@
  * tran and fourier commands -- top
  */
 //testing=script,complete 2007.11.22
+#include "globals.h"
 #include "u_sim_data.h"
 #include "u_status.h"
 #include "s_tr.h"
-static TRANSIENT p5;
-DISPATCHER<CMD>::INSTALL      d5(&command_dispatcher, "transient", &p5);
-DISPATCHER<CKT_BASE>::INSTALL d6(&status_dispatcher,  "transient", &p5);
+namespace {
+  TRANSIENT p5;
+  DISPATCHER<CMD>::INSTALL      d5(&command_dispatcher, "transient", &p5);
+  DISPATCHER<CKT_BASE>::INSTALL d6(&status_dispatcher,  "transient", &p5);
+}
 /*--------------------------------------------------------------------------*/
 int TRANSIENT::steps_accepted_;
 int TRANSIENT::steps_rejected_;
@@ -39,6 +42,7 @@ void TRANSIENT::do_it(CS& Cmd, CARD_LIST* Scope)
   _sim->set_command_tran();
   ::status.tran.reset().start();
   command_base(Cmd);
+  _scope = NULL;
   ::status.tran.stop();
 }
 /*--------------------------------------------------------------------------*/
diff --git a/apps/s_tr_swp.cc b/apps/s_tr_swp.cc
index ff88fa0..6b318a1 100644
--- a/apps/s_tr_swp.cc
+++ b/apps/s_tr_swp.cc
@@ -1,4 +1,4 @@
-/*$Id: s_tr_swp.cc,v 26.136 2009/12/08 02:03:49 al Exp $ -*- C++ -*-
+/*$Id: s_tr_swp.cc,v 26.137 2010/04/10 02:37:05 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -79,6 +79,8 @@ void TRANSIENT::sweep()
       _sim->_late_evalq.pop_front();
     }
     _converged = true;
+    _sim->_loadq.clear(); // fake solve, clear the queue
+    //BUG// UIC needs further analysis.
   }else{
     _converged = solve_with_homotopy(OPT::DCBIAS,_trace);
     if (!_converged) {
diff --git a/modelgen/l_trim.cc b/apps/signon.cc
similarity index 70%
rename from modelgen/l_trim.cc
rename to apps/signon.cc
index 9df37f1..1c99b5e 100644
--- a/modelgen/l_trim.cc
+++ b/apps/signon.cc
@@ -1,5 +1,5 @@
-/*$Id: l_trim.cc,v 26.81 2008/05/27 05:34:00 al Exp $ -*- C++ -*-
- * Copyright (C) 2001 Albert Davis
+/*$Id: signon.cc,v 26.138 2013/04/24 02:44:30 al Exp $ -*- C++ -*-
+ * Copyright (C) 2013 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
  * This file is part of "Gnucap", the Gnu Circuit Analysis Package
@@ -19,21 +19,16 @@
  * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
  * 02110-1301, USA.
  *------------------------------------------------------------------
- * remove whitespace from the end of strings
+ * print a message at sign-on.
  */
-//testing=script,complete 2006.07.13
-#include <cstring>
-#include <cctype>
+#include "io_.h"
+#include "patchlev.h"
 /*--------------------------------------------------------------------------*/
-	char*	trim(char*);
-/*--------------------------------------------------------------------------*/
-char* trim(char *string)
-{
-  size_t idx = strlen(string);
-  while (idx > 0  &&  !isgraph(string[--idx])) {
-    string[idx] = '\0' ;
+static class SIGN_ON {
+public:
+  SIGN_ON() {
+    IO::mstdout << "default plugins: " PATCHLEVEL "\n";
   }
-  return string;
-}
+} sign_on;
 /*--------------------------------------------------------------------------*/
 /*--------------------------------------------------------------------------*/
diff --git a/lib/test_readline.cc b/apps/test_readline.cc
similarity index 56%
copy from lib/test_readline.cc
copy to apps/test_readline.cc
index 37bcb6d..4ad7c93 100644
--- a/lib/test_readline.cc
+++ b/apps/test_readline.cc
@@ -1,7 +1,6 @@
 //testing=trivial 2006.07.17
-#include "md.h"
-  #include <readline/readline.h>
-  #include <readline/history.h>
+#include <readline/readline.h>
+#include <readline/history.h>
 int main()
 {
   char* line_read = readline("xx");
diff --git a/config.h.in b/config.h.in
deleted file mode 100644
index c9fa78f..0000000
--- a/config.h.in
+++ /dev/null
@@ -1,34 +0,0 @@
-/* config.h.in.  Generated from configure.ac by autoheader.  */
-
-/* Define to 1 if you have the `dl' library (-ldl). */
-#undef HAVE_LIBDL
-
-/* Define to 1 if you have the `readline' library (-lreadline). */
-#undef HAVE_LIBREADLINE
-
-/* Define to 1 if you have the `termcap' library (-ltermcap). */
-#undef HAVE_LIBTERMCAP
-
-/* Name of package */
-#undef PACKAGE
-
-/* Define to the address where bug reports for this package should be sent. */
-#undef PACKAGE_BUGREPORT
-
-/* Define to the full name of this package. */
-#undef PACKAGE_NAME
-
-/* Define to the full name and version of this package. */
-#undef PACKAGE_STRING
-
-/* Define to the one symbol short name of this package. */
-#undef PACKAGE_TARNAME
-
-/* Define to the home page for this package. */
-#undef PACKAGE_URL
-
-/* Define to the version of this package. */
-#undef PACKAGE_VERSION
-
-/* Version number of package */
-#undef VERSION
diff --git a/configure b/configure
index 3ec1ed5..062ae38 100755
--- a/configure
+++ b/configure
@@ -1,4789 +1,41 @@
-#! /bin/sh
-# Guess values for system-dependent variables and create Makefiles.
-# Generated by GNU Autoconf 2.65.
-#
-#
-# Copyright (C) 1992, 1993, 1994, 1995, 1996, 1998, 1999, 2000, 2001,
-# 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
-# Inc.
-#
-#
-# This configure script is free software; the Free Software Foundation
-# gives unlimited permission to copy, distribute and modify it.
-## -------------------- ##
-## M4sh Initialization. ##
-## -------------------- ##
-
-# Be more Bourne compatible
-DUALCASE=1; export DUALCASE # for MKS sh
-if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then :
-  emulate sh
-  NULLCMD=:
-  # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
-  # is contrary to our usage.  Disable this feature.
-  alias -g '${1+"$@"}'='"$@"'
-  setopt NO_GLOB_SUBST
-else
-  case `(set -o) 2>/dev/null` in #(
-  *posix*) :
-    set -o posix ;; #(
-  *) :
-     ;;
-esac
-fi
-
-
-as_nl='
-'
-export as_nl
-# Printing a long string crashes Solaris 7 /usr/bin/printf.
-as_echo='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
-as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo
-as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo$as_echo
-# Prefer a ksh shell builtin over an external printf program on Solaris,
-# but without wasting forks for bash or zsh.
-if test -z "$BASH_VERSION$ZSH_VERSION" \
-    && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
-  as_echo='print -r --'
-  as_echo_n='print -rn --'
-elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
-  as_echo='printf %s\n'
-  as_echo_n='printf %s'
-else
-  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
-    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
-    as_echo_n='/usr/ucb/echo -n'
-  else
-    as_echo_body='eval expr "X$1" : "X\\(.*\\)"'
-    as_echo_n_body='eval
-      arg=$1;
-      case $arg in #(
-      *"$as_nl"*)
-	expr "X$arg" : "X\\(.*\\)$as_nl";
-	arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
-      esac;
-      expr "X$arg" : "X\\(.*\\)" | tr -d "$as_nl"
-    '
-    export as_echo_n_body
-    as_echo_n='sh -c $as_echo_n_body as_echo'
-  fi
-  export as_echo_body
-  as_echo='sh -c $as_echo_body as_echo'
-fi
-
-# The user is always right.
-if test "${PATH_SEPARATOR+set}" != set; then
-  PATH_SEPARATOR=:
-  (PATH='/bin;/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 && {
-    (PATH='/bin:/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 ||
-      PATH_SEPARATOR=';'
-  }
-fi
-
-
-# IFS
-# We need space, tab and new line, in precisely that order.  Quoting is
-# there to prevent editors from complaining about space-tab.
-# (If _AS_PATH_WALK were called with IFS unset, it would disable word
-# splitting by setting IFS to empty value.)
-IFS=" ""	$as_nl"
-
-# Find who we are.  Look in the path if we contain no directory separator.
-case $0 in #((
-  *[\\/]* ) as_myself=$0 ;;
-  *) as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
-  IFS=$as_save_IFS
-  test -z "$as_dir" && as_dir=.
-    test -r "$as_dir/$0" && as_myself=$as_dir/$0 && break
-  done
-IFS=$as_save_IFS
-
-     ;;
-esac
-# We did not find ourselves, most probably we were run as `sh COMMAND'
-# in which case we are not to be found in the path.
-if test "x$as_myself" = x; then
-  as_myself=$0
-fi
-if test ! -f "$as_myself"; then
-  $as_echo "$as_myself: error: cannot find myself; rerun with an absolute file name" >&2
-  exit 1
-fi
-
-# Unset variables that we do not need and which cause bugs (e.g. in
-# pre-3.0 UWIN ksh).  But do not cause bugs in bash 2.01; the "|| exit 1"
-# suppresses any "Segmentation fault" message there.  '((' could
-# trigger a bug in pdksh 5.2.14.
-for as_var in BASH_ENV ENV MAIL MAILPATH
-do eval test x\${$as_var+set} = xset \
-  && ( (unset $as_var) || exit 1) >/dev/null 2>&1 && unset $as_var || :
-done
-PS1='$ '
-PS2='> '
-PS4='+ '
-
-# NLS nuisances.
-LC_ALL=C
-export LC_ALL
-LANGUAGE=C
-export LANGUAGE
-
-# CDPATH.
-(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
-
-if test "x$CONFIG_SHELL" = x; then
-  as_bourne_compatible="if test -n \"\${ZSH_VERSION+set}\" && (emulate sh) >/dev/null 2>&1; then :
-  emulate sh
-  NULLCMD=:
-  # Pre-4.2 versions of Zsh do word splitting on \${1+\"\$@\"}, which
-  # is contrary to our usage.  Disable this feature.
-  alias -g '\${1+\"\$@\"}'='\"\$@\"'
-  setopt NO_GLOB_SUBST
-else
-  case \`(set -o) 2>/dev/null\` in #(
-  *posix*) :
-    set -o posix ;; #(
-  *) :
-     ;;
-esac
-fi
-"
-  as_required="as_fn_return () { (exit \$1); }
-as_fn_success () { as_fn_return 0; }
-as_fn_failure () { as_fn_return 1; }
-as_fn_ret_success () { return 0; }
-as_fn_ret_failure () { return 1; }
-
-exitcode=0
-as_fn_success || { exitcode=1; echo as_fn_success failed.; }
-as_fn_failure && { exitcode=1; echo as_fn_failure succeeded.; }
-as_fn_ret_success || { exitcode=1; echo as_fn_ret_success failed.; }
-as_fn_ret_failure && { exitcode=1; echo as_fn_ret_failure succeeded.; }
-if ( set x; as_fn_ret_success y && test x = \"\$1\" ); then :
-
-else
-  exitcode=1; echo positional parameters were not saved.
-fi
-test x\$exitcode = x0 || exit 1"
-  as_suggested="  as_lineno_1=";as_suggested=$as_suggested$LINENO;as_suggested=$as_suggested" as_lineno_1a=\$LINENO
-  as_lineno_2=";as_suggested=$as_suggested$LINENO;as_suggested=$as_suggested" as_lineno_2a=\$LINENO
-  eval 'test \"x\$as_lineno_1'\$as_run'\" != \"x\$as_lineno_2'\$as_run'\" &&
-  test \"x\`expr \$as_lineno_1'\$as_run' + 1\`\" = \"x\$as_lineno_2'\$as_run'\"' || exit 1"
-  if (eval "$as_required") 2>/dev/null; then :
-  as_have_required=yes
-else
-  as_have_required=no
-fi
-  if test x$as_have_required = xyes && (eval "$as_suggested") 2>/dev/null; then :
-
-else
-  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-as_found=false
-for as_dir in /bin$PATH_SEPARATOR/usr/bin$PATH_SEPARATOR$PATH
-do
-  IFS=$as_save_IFS
-  test -z "$as_dir" && as_dir=.
-  as_found=:
-  case $as_dir in #(
-	 /*)
-	   for as_base in sh bash ksh sh5; do
-	     # Try only shells that exist, to save several forks.
-	     as_shell=$as_dir/$as_base
-	     if { test -f "$as_shell" || test -f "$as_shell.exe"; } &&
-		    { $as_echo "$as_bourne_compatible""$as_required" | as_run=a "$as_shell"; } 2>/dev/null; then :
-  CONFIG_SHELL=$as_shell as_have_required=yes
-		   if { $as_echo "$as_bourne_compatible""$as_suggested" | as_run=a "$as_shell"; } 2>/dev/null; then :
-  break 2
-fi
-fi
-	   done;;
-       esac
-  as_found=false
-done
-$as_found || { if { test -f "$SHELL" || test -f "$SHELL.exe"; } &&
-	      { $as_echo "$as_bourne_compatible""$as_required" | as_run=a "$SHELL"; } 2>/dev/null; then :
-  CONFIG_SHELL=$SHELL as_have_required=yes
-fi; }
-IFS=$as_save_IFS
-
-
-      if test "x$CONFIG_SHELL" != x; then :
-  # We cannot yet assume a decent shell, so we have to provide a
-	# neutralization value for shells without unset; and this also
-	# works around shells that cannot unset nonexistent variables.
-	BASH_ENV=/dev/null
-	ENV=/dev/null
-	(unset BASH_ENV) >/dev/null 2>&1 && unset BASH_ENV ENV
-	export CONFIG_SHELL
-	exec "$CONFIG_SHELL" "$as_myself" ${1+"$@"}
-fi
-
-    if test x$as_have_required = xno; then :
-  $as_echo "$0: This script requires a shell more modern than all"
-  $as_echo "$0: the shells that I found on your system."
-  if test x${ZSH_VERSION+set} = xset ; then
-    $as_echo "$0: In particular, zsh $ZSH_VERSION has bugs and should"
-    $as_echo "$0: be upgraded to zsh 4.3.4 or later."
-  else
-    $as_echo "$0: Please tell bug-autoconf at gnu.org about your system,
-$0: including any error possibly output before this
-$0: message. Then install a modern shell, or manually run
-$0: the script under such a shell if you do have one."
-  fi
-  exit 1
-fi
-fi
-fi
-SHELL=${CONFIG_SHELL-/bin/sh}
-export SHELL
-# Unset more variables known to interfere with behavior of common tools.
-CLICOLOR_FORCE= GREP_OPTIONS=
-unset CLICOLOR_FORCE GREP_OPTIONS
-
-## --------------------- ##
-## M4sh Shell Functions. ##
-## --------------------- ##
-# as_fn_unset VAR
-# ---------------
-# Portably unset VAR.
-as_fn_unset ()
-{
-  { eval $1=; unset $1;}
-}
-as_unset=as_fn_unset
-
-# as_fn_set_status STATUS
-# -----------------------
-# Set $? to STATUS, without forking.
-as_fn_set_status ()
-{
-  return $1
-} # as_fn_set_status
-
-# as_fn_exit STATUS
-# -----------------
-# Exit the shell with STATUS, even in a "trap 0" or "set -e" context.
-as_fn_exit ()
-{
-  set +e
-  as_fn_set_status $1
-  exit $1
-} # as_fn_exit
-
-# as_fn_mkdir_p
-# -------------
-# Create "$as_dir" as a directory, including parents if necessary.
-as_fn_mkdir_p ()
-{
-
-  case $as_dir in #(
-  -*) as_dir=./$as_dir;;
-  esac
-  test -d "$as_dir" || eval $as_mkdir_p || {
-    as_dirs=
-    while :; do
-      case $as_dir in #(
-      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
-      *) as_qdir=$as_dir;;
-      esac
-      as_dirs="'$as_qdir' $as_dirs"
-      as_dir=`$as_dirname -- "$as_dir" ||
-$as_expr X"$as_dir" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
-	 X"$as_dir" : 'X\(//\)[^/]' \| \
-	 X"$as_dir" : 'X\(//\)$' \| \
-	 X"$as_dir" : 'X\(/\)' \| . 2>/dev/null ||
-$as_echo X"$as_dir" |
-    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\/\)[^/].*/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\/\)$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\).*/{
-	    s//\1/
-	    q
-	  }
-	  s/.*/./; q'`
-      test -d "$as_dir" && break
-    done
-    test -z "$as_dirs" || eval "mkdir $as_dirs"
-  } || test -d "$as_dir" || as_fn_error "cannot create directory $as_dir"
-
-
-} # as_fn_mkdir_p
-# as_fn_append VAR VALUE
-# ----------------------
-# Append the text in VALUE to the end of the definition contained in VAR. Take
-# advantage of any shell optimizations that allow amortized linear growth over
-# repeated appends, instead of the typical quadratic growth present in naive
-# implementations.
-if (eval "as_var=1; as_var+=2; test x\$as_var = x12") 2>/dev/null; then :
-  eval 'as_fn_append ()
-  {
-    eval $1+=\$2
-  }'
-else
-  as_fn_append ()
-  {
-    eval $1=\$$1\$2
-  }
-fi # as_fn_append
-
-# as_fn_arith ARG...
-# ------------------
-# Perform arithmetic evaluation on the ARGs, and store the result in the
-# global $as_val. Take advantage of shells that can avoid forks. The arguments
-# must be portable across $(()) and expr.
-if (eval "test \$(( 1 + 1 )) = 2") 2>/dev/null; then :
-  eval 'as_fn_arith ()
-  {
-    as_val=$(( $* ))
-  }'
-else
-  as_fn_arith ()
-  {
-    as_val=`expr "$@" || test $? -eq 1`
-  }
-fi # as_fn_arith
-
-
-# as_fn_error ERROR [LINENO LOG_FD]
-# ---------------------------------
-# Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
-# provided, also output the error to LOG_FD, referencing LINENO. Then exit the
-# script with status $?, using 1 if that was 0.
-as_fn_error ()
-{
-  as_status=$?; test $as_status -eq 0 && as_status=1
-  if test "$3"; then
-    as_lineno=${as_lineno-"$2"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
-    $as_echo "$as_me:${as_lineno-$LINENO}: error: $1" >&$3
-  fi
-  $as_echo "$as_me: error: $1" >&2
-  as_fn_exit $as_status
-} # as_fn_error
-
-if expr a : '\(a\)' >/dev/null 2>&1 &&
-   test "X`expr 00001 : '.*\(...\)'`" = X001; then
-  as_expr=expr
-else
-  as_expr=false
-fi
-
-if (basename -- /) >/dev/null 2>&1 && test "X`basename -- / 2>&1`" = "X/"; then
-  as_basename=basename
-else
-  as_basename=false
-fi
-
-if (as_dir=`dirname -- /` && test "X$as_dir" = X/) >/dev/null 2>&1; then
-  as_dirname=dirname
-else
-  as_dirname=false
-fi
-
-as_me=`$as_basename -- "$0" ||
-$as_expr X/"$0" : '.*/\([^/][^/]*\)/*$' \| \
-	 X"$0" : 'X\(//\)$' \| \
-	 X"$0" : 'X\(/\)' \| . 2>/dev/null ||
-$as_echo X/"$0" |
-    sed '/^.*\/\([^/][^/]*\)\/*$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\/\(\/\/\)$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\/\(\/\).*/{
-	    s//\1/
-	    q
-	  }
-	  s/.*/./; q'`
-
-# Avoid depending upon Character Ranges.
-as_cr_letters='abcdefghijklmnopqrstuvwxyz'
-as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
-as_cr_Letters=$as_cr_letters$as_cr_LETTERS
-as_cr_digits='0123456789'
-as_cr_alnum=$as_cr_Letters$as_cr_digits
-
-
-  as_lineno_1=$LINENO as_lineno_1a=$LINENO
-  as_lineno_2=$LINENO as_lineno_2a=$LINENO
-  eval 'test "x$as_lineno_1'$as_run'" != "x$as_lineno_2'$as_run'" &&
-  test "x`expr $as_lineno_1'$as_run' + 1`" = "x$as_lineno_2'$as_run'"' || {
-  # Blame Lee E. McMahon (1931-1989) for sed's syntax.  :-)
-  sed -n '
-    p
-    /[$]LINENO/=
-  ' <$as_myself |
-    sed '
-      s/[$]LINENO.*/&-/
-      t lineno
-      b
-      :lineno
-      N
-      :loop
-      s/[$]LINENO\([^'$as_cr_alnum'_].*\n\)\(.*\)/\2\1\2/
-      t loop
-      s/-\n.*//
-    ' >$as_me.lineno &&
-  chmod +x "$as_me.lineno" ||
-    { $as_echo "$as_me: error: cannot create $as_me.lineno; rerun with a POSIX shell" >&2; as_fn_exit 1; }
-
-  # Don't try to exec as it changes $[0], causing all sort of problems
-  # (the dirname of $[0] is not the place where we might find the
-  # original and so on.  Autoconf is especially sensitive to this).
-  . "./$as_me.lineno"
-  # Exit status is that of the last command.
-  exit
-}
-
-ECHO_C= ECHO_N= ECHO_T=
-case `echo -n x` in #(((((
--n*)
-  case `echo 'xy\c'` in
-  *c*) ECHO_T='	';;	# ECHO_T is single tab character.
-  xy)  ECHO_C='\c';;
-  *)   echo `echo ksh88 bug on AIX 6.1` > /dev/null
-       ECHO_T='	';;
-  esac;;
-*)
-  ECHO_N='-n';;
-esac
-
-rm -f conf$$ conf$$.exe conf$$.file
-if test -d conf$$.dir; then
-  rm -f conf$$.dir/conf$$.file
-else
-  rm -f conf$$.dir
-  mkdir conf$$.dir 2>/dev/null
-fi
-if (echo >conf$$.file) 2>/dev/null; then
-  if ln -s conf$$.file conf$$ 2>/dev/null; then
-    as_ln_s='ln -s'
-    # ... but there are two gotchas:
-    # 1) On MSYS, both `ln -s file dir' and `ln file dir' fail.
-    # 2) DJGPP < 2.04 has no symlinks; `ln -s' creates a wrapper executable.
-    # In both cases, we have to default to `cp -p'.
-    ln -s conf$$.file conf$$.dir 2>/dev/null && test ! -f conf$$.exe ||
-      as_ln_s='cp -p'
-  elif ln conf$$.file conf$$ 2>/dev/null; then
-    as_ln_s=ln
-  else
-    as_ln_s='cp -p'
-  fi
-else
-  as_ln_s='cp -p'
-fi
-rm -f conf$$ conf$$.exe conf$$.dir/conf$$.file conf$$.file
-rmdir conf$$.dir 2>/dev/null
-
-if mkdir -p . 2>/dev/null; then
-  as_mkdir_p='mkdir -p "$as_dir"'
-else
-  test -d ./-p && rmdir ./-p
-  as_mkdir_p=false
-fi
-
-if test -x / >/dev/null 2>&1; then
-  as_test_x='test -x'
-else
-  if ls -dL / >/dev/null 2>&1; then
-    as_ls_L_option=L
-  else
-    as_ls_L_option=
-  fi
-  as_test_x='
-    eval sh -c '\''
-      if test -d "$1"; then
-	test -d "$1/.";
-      else
-	case $1 in #(
-	-*)set "./$1";;
-	esac;
-	case `ls -ld'$as_ls_L_option' "$1" 2>/dev/null` in #((
-	???[sx]*):;;*)false;;esac;fi
-    '\'' sh
-  '
-fi
-as_executable_p=$as_test_x
-
-# Sed expression to map a string onto a valid CPP name.
-as_tr_cpp="eval sed 'y%*$as_cr_letters%P$as_cr_LETTERS%;s%[^_$as_cr_alnum]%_%g'"
-
-# Sed expression to map a string onto a valid variable name.
-as_tr_sh="eval sed 'y%*+%pp%;s%[^_$as_cr_alnum]%_%g'"
-
-
-test -n "$DJDIR" || exec 7<&0 </dev/null
-exec 6>&1
-
-# Name of the host.
-# hostname on some systems (SVR3.2, Linux) returns a bogus exit status,
-# so uname gets run too.
-ac_hostname=`(hostname || uname -n) 2>/dev/null | sed 1q`
-
-#
-# Initializations.
-#
-ac_default_prefix=/usr/local
-ac_clean_files=
-ac_config_libobj_dir=.
-LIBOBJS=
-cross_compiling=no
-subdirs=
-MFLAGS=
-MAKEFLAGS=
-
-# Identity of this package.
-PACKAGE_NAME=
-PACKAGE_TARNAME=
-PACKAGE_VERSION=
-PACKAGE_STRING=
-PACKAGE_BUGREPORT=
-PACKAGE_URL=
-
-ac_unique_file="src/main.cc"
-ac_subst_vars='am__EXEEXT_FALSE
-am__EXEEXT_TRUE
-LTLIBOBJS
-LIBOBJS
-MODELGEN
-am__fastdepCXX_FALSE
-am__fastdepCXX_TRUE
-CXXDEPMODE
-AMDEPBACKSLASH
-AMDEP_FALSE
-AMDEP_TRUE
-am__quote
-am__include
-DEPDIR
-OBJEXT
-EXEEXT
-ac_ct_CXX
-CPPFLAGS
-LDFLAGS
-CXXFLAGS
-CXX
-MAINT
-MAINTAINER_MODE_FALSE
-MAINTAINER_MODE_TRUE
-am__untar
-am__tar
-AMTAR
-am__leading_dot
-SET_MAKE
-AWK
-mkdir_p
-MKDIR_P
-INSTALL_STRIP_PROGRAM
-STRIP
-install_sh
-MAKEINFO
-AUTOHEADER
-AUTOMAKE
-AUTOCONF
-ACLOCAL
-VERSION
-PACKAGE
-CYGPATH_W
-am__isrc
-INSTALL_DATA
-INSTALL_SCRIPT
-INSTALL_PROGRAM
-target_alias
-host_alias
-build_alias
-LIBS
-ECHO_T
-ECHO_N
-ECHO_C
-DEFS
-mandir
-localedir
-libdir
-psdir
-pdfdir
-dvidir
-htmldir
-infodir
-docdir
-oldincludedir
-includedir
-localstatedir
-sharedstatedir
-sysconfdir
-datadir
-datarootdir
-libexecdir
-sbindir
-bindir
-program_transform_name
-prefix
-exec_prefix
-PACKAGE_URL
-PACKAGE_BUGREPORT
-PACKAGE_STRING
-PACKAGE_VERSION
-PACKAGE_TARNAME
-PACKAGE_NAME
-PATH_SEPARATOR
-SHELL'
-ac_subst_files=''
-ac_user_opts='
-enable_option_checking
-enable_maintainer_mode
-enable_debug
-enable_dependency_tracking
-with_readline
-'
-      ac_precious_vars='build_alias
-host_alias
-target_alias
-CXX
-CXXFLAGS
-LDFLAGS
-LIBS
-CPPFLAGS
-CCC'
-
-
-# Initialize some variables set by options.
-ac_init_help=
-ac_init_version=false
-ac_unrecognized_opts=
-ac_unrecognized_sep=
-# The variables have the same names as the options, with
-# dashes changed to underlines.
-cache_file=/dev/null
-exec_prefix=NONE
-no_create=
-no_recursion=
-prefix=NONE
-program_prefix=NONE
-program_suffix=NONE
-program_transform_name=s,x,x,
-silent=
-site=
-srcdir=
-verbose=
-x_includes=NONE
-x_libraries=NONE
-
-# Installation directory options.
-# These are left unexpanded so users can "make install exec_prefix=/foo"
-# and all the variables that are supposed to be based on exec_prefix
-# by default will actually change.
-# Use braces instead of parens because sh, perl, etc. also accept them.
-# (The list follows the same order as the GNU Coding Standards.)
-bindir='${exec_prefix}/bin'
-sbindir='${exec_prefix}/sbin'
-libexecdir='${exec_prefix}/libexec'
-datarootdir='${prefix}/share'
-datadir='${datarootdir}'
-sysconfdir='${prefix}/etc'
-sharedstatedir='${prefix}/com'
-localstatedir='${prefix}/var'
-includedir='${prefix}/include'
-oldincludedir='/usr/include'
-docdir='${datarootdir}/doc/${PACKAGE}'
-infodir='${datarootdir}/info'
-htmldir='${docdir}'
-dvidir='${docdir}'
-pdfdir='${docdir}'
-psdir='${docdir}'
-libdir='${exec_prefix}/lib'
-localedir='${datarootdir}/locale'
-mandir='${datarootdir}/man'
-
-ac_prev=
-ac_dashdash=
-for ac_option
-do
-  # If the previous option needs an argument, assign it.
-  if test -n "$ac_prev"; then
-    eval $ac_prev=\$ac_option
-    ac_prev=
-    continue
-  fi
-
-  case $ac_option in
-  *=*)	ac_optarg=`expr "X$ac_option" : '[^=]*=\(.*\)'` ;;
-  *)	ac_optarg=yes ;;
-  esac
-
-  # Accept the important Cygnus configure options, so we can diagnose typos.
-
-  case $ac_dashdash$ac_option in
-  --)
-    ac_dashdash=yes ;;
-
-  -bindir | --bindir | --bindi | --bind | --bin | --bi)
-    ac_prev=bindir ;;
-  -bindir=* | --bindir=* | --bindi=* | --bind=* | --bin=* | --bi=*)
-    bindir=$ac_optarg ;;
-
-  -build | --build | --buil | --bui | --bu)
-    ac_prev=build_alias ;;
-  -build=* | --build=* | --buil=* | --bui=* | --bu=*)
-    build_alias=$ac_optarg ;;
-
-  -cache-file | --cache-file | --cache-fil | --cache-fi \
-  | --cache-f | --cache- | --cache | --cach | --cac | --ca | --c)
-    ac_prev=cache_file ;;
-  -cache-file=* | --cache-file=* | --cache-fil=* | --cache-fi=* \
-  | --cache-f=* | --cache-=* | --cache=* | --cach=* | --cac=* | --ca=* | --c=*)
-    cache_file=$ac_optarg ;;
-
-  --config-cache | -C)
-    cache_file=config.cache ;;
-
-  -datadir | --datadir | --datadi | --datad)
-    ac_prev=datadir ;;
-  -datadir=* | --datadir=* | --datadi=* | --datad=*)
-    datadir=$ac_optarg ;;
-
-  -datarootdir | --datarootdir | --datarootdi | --datarootd | --dataroot \
-  | --dataroo | --dataro | --datar)
-    ac_prev=datarootdir ;;
-  -datarootdir=* | --datarootdir=* | --datarootdi=* | --datarootd=* \
-  | --dataroot=* | --dataroo=* | --dataro=* | --datar=*)
-    datarootdir=$ac_optarg ;;
-
-  -disable-* | --disable-*)
-    ac_useropt=`expr "x$ac_option" : 'x-*disable-\(.*\)'`
-    # Reject names that are not valid shell variable names.
-    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
-      as_fn_error "invalid feature name: $ac_useropt"
-    ac_useropt_orig=$ac_useropt
-    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
-    case $ac_user_opts in
-      *"
-"enable_$ac_useropt"
-"*) ;;
-      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--disable-$ac_useropt_orig"
-	 ac_unrecognized_sep=', ';;
-    esac
-    eval enable_$ac_useropt=no ;;
-
-  -docdir | --docdir | --docdi | --doc | --do)
-    ac_prev=docdir ;;
-  -docdir=* | --docdir=* | --docdi=* | --doc=* | --do=*)
-    docdir=$ac_optarg ;;
-
-  -dvidir | --dvidir | --dvidi | --dvid | --dvi | --dv)
-    ac_prev=dvidir ;;
-  -dvidir=* | --dvidir=* | --dvidi=* | --dvid=* | --dvi=* | --dv=*)
-    dvidir=$ac_optarg ;;
-
-  -enable-* | --enable-*)
-    ac_useropt=`expr "x$ac_option" : 'x-*enable-\([^=]*\)'`
-    # Reject names that are not valid shell variable names.
-    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
-      as_fn_error "invalid feature name: $ac_useropt"
-    ac_useropt_orig=$ac_useropt
-    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
-    case $ac_user_opts in
-      *"
-"enable_$ac_useropt"
-"*) ;;
-      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--enable-$ac_useropt_orig"
-	 ac_unrecognized_sep=', ';;
-    esac
-    eval enable_$ac_useropt=\$ac_optarg ;;
-
-  -exec-prefix | --exec_prefix | --exec-prefix | --exec-prefi \
-  | --exec-pref | --exec-pre | --exec-pr | --exec-p | --exec- \
-  | --exec | --exe | --ex)
-    ac_prev=exec_prefix ;;
-  -exec-prefix=* | --exec_prefix=* | --exec-prefix=* | --exec-prefi=* \
-  | --exec-pref=* | --exec-pre=* | --exec-pr=* | --exec-p=* | --exec-=* \
-  | --exec=* | --exe=* | --ex=*)
-    exec_prefix=$ac_optarg ;;
-
-  -gas | --gas | --ga | --g)
-    # Obsolete; use --with-gas.
-    with_gas=yes ;;
-
-  -help | --help | --hel | --he | -h)
-    ac_init_help=long ;;
-  -help=r* | --help=r* | --hel=r* | --he=r* | -hr*)
-    ac_init_help=recursive ;;
-  -help=s* | --help=s* | --hel=s* | --he=s* | -hs*)
-    ac_init_help=short ;;
-
-  -host | --host | --hos | --ho)
-    ac_prev=host_alias ;;
-  -host=* | --host=* | --hos=* | --ho=*)
-    host_alias=$ac_optarg ;;
-
-  -htmldir | --htmldir | --htmldi | --htmld | --html | --htm | --ht)
-    ac_prev=htmldir ;;
-  -htmldir=* | --htmldir=* | --htmldi=* | --htmld=* | --html=* | --htm=* \
-  | --ht=*)
-    htmldir=$ac_optarg ;;
-
-  -includedir | --includedir | --includedi | --included | --include \
-  | --includ | --inclu | --incl | --inc)
-    ac_prev=includedir ;;
-  -includedir=* | --includedir=* | --includedi=* | --included=* | --include=* \
-  | --includ=* | --inclu=* | --incl=* | --inc=*)
-    includedir=$ac_optarg ;;
-
-  -infodir | --infodir | --infodi | --infod | --info | --inf)
-    ac_prev=infodir ;;
-  -infodir=* | --infodir=* | --infodi=* | --infod=* | --info=* | --inf=*)
-    infodir=$ac_optarg ;;
-
-  -libdir | --libdir | --libdi | --libd)
-    ac_prev=libdir ;;
-  -libdir=* | --libdir=* | --libdi=* | --libd=*)
-    libdir=$ac_optarg ;;
-
-  -libexecdir | --libexecdir | --libexecdi | --libexecd | --libexec \
-  | --libexe | --libex | --libe)
-    ac_prev=libexecdir ;;
-  -libexecdir=* | --libexecdir=* | --libexecdi=* | --libexecd=* | --libexec=* \
-  | --libexe=* | --libex=* | --libe=*)
-    libexecdir=$ac_optarg ;;
-
-  -localedir | --localedir | --localedi | --localed | --locale)
-    ac_prev=localedir ;;
-  -localedir=* | --localedir=* | --localedi=* | --localed=* | --locale=*)
-    localedir=$ac_optarg ;;
-
-  -localstatedir | --localstatedir | --localstatedi | --localstated \
-  | --localstate | --localstat | --localsta | --localst | --locals)
-    ac_prev=localstatedir ;;
-  -localstatedir=* | --localstatedir=* | --localstatedi=* | --localstated=* \
-  | --localstate=* | --localstat=* | --localsta=* | --localst=* | --locals=*)
-    localstatedir=$ac_optarg ;;
-
-  -mandir | --mandir | --mandi | --mand | --man | --ma | --m)
-    ac_prev=mandir ;;
-  -mandir=* | --mandir=* | --mandi=* | --mand=* | --man=* | --ma=* | --m=*)
-    mandir=$ac_optarg ;;
-
-  -nfp | --nfp | --nf)
-    # Obsolete; use --without-fp.
-    with_fp=no ;;
-
-  -no-create | --no-create | --no-creat | --no-crea | --no-cre \
-  | --no-cr | --no-c | -n)
-    no_create=yes ;;
-
-  -no-recursion | --no-recursion | --no-recursio | --no-recursi \
-  | --no-recurs | --no-recur | --no-recu | --no-rec | --no-re | --no-r)
-    no_recursion=yes ;;
-
-  -oldincludedir | --oldincludedir | --oldincludedi | --oldincluded \
-  | --oldinclude | --oldinclud | --oldinclu | --oldincl | --oldinc \
-  | --oldin | --oldi | --old | --ol | --o)
-    ac_prev=oldincludedir ;;
-  -oldincludedir=* | --oldincludedir=* | --oldincludedi=* | --oldincluded=* \
-  | --oldinclude=* | --oldinclud=* | --oldinclu=* | --oldincl=* | --oldinc=* \
-  | --oldin=* | --oldi=* | --old=* | --ol=* | --o=*)
-    oldincludedir=$ac_optarg ;;
-
-  -prefix | --prefix | --prefi | --pref | --pre | --pr | --p)
-    ac_prev=prefix ;;
-  -prefix=* | --prefix=* | --prefi=* | --pref=* | --pre=* | --pr=* | --p=*)
-    prefix=$ac_optarg ;;
-
-  -program-prefix | --program-prefix | --program-prefi | --program-pref \
-  | --program-pre | --program-pr | --program-p)
-    ac_prev=program_prefix ;;
-  -program-prefix=* | --program-prefix=* | --program-prefi=* \
-  | --program-pref=* | --program-pre=* | --program-pr=* | --program-p=*)
-    program_prefix=$ac_optarg ;;
-
-  -program-suffix | --program-suffix | --program-suffi | --program-suff \
-  | --program-suf | --program-su | --program-s)
-    ac_prev=program_suffix ;;
-  -program-suffix=* | --program-suffix=* | --program-suffi=* \
-  | --program-suff=* | --program-suf=* | --program-su=* | --program-s=*)
-    program_suffix=$ac_optarg ;;
-
-  -program-transform-name | --program-transform-name \
-  | --program-transform-nam | --program-transform-na \
-  | --program-transform-n | --program-transform- \
-  | --program-transform | --program-transfor \
-  | --program-transfo | --program-transf \
-  | --program-trans | --program-tran \
-  | --progr-tra | --program-tr | --program-t)
-    ac_prev=program_transform_name ;;
-  -program-transform-name=* | --program-transform-name=* \
-  | --program-transform-nam=* | --program-transform-na=* \
-  | --program-transform-n=* | --program-transform-=* \
-  | --program-transform=* | --program-transfor=* \
-  | --program-transfo=* | --program-transf=* \
-  | --program-trans=* | --program-tran=* \
-  | --progr-tra=* | --program-tr=* | --program-t=*)
-    program_transform_name=$ac_optarg ;;
-
-  -pdfdir | --pdfdir | --pdfdi | --pdfd | --pdf | --pd)
-    ac_prev=pdfdir ;;
-  -pdfdir=* | --pdfdir=* | --pdfdi=* | --pdfd=* | --pdf=* | --pd=*)
-    pdfdir=$ac_optarg ;;
-
-  -psdir | --psdir | --psdi | --psd | --ps)
-    ac_prev=psdir ;;
-  -psdir=* | --psdir=* | --psdi=* | --psd=* | --ps=*)
-    psdir=$ac_optarg ;;
-
-  -q | -quiet | --quiet | --quie | --qui | --qu | --q \
-  | -silent | --silent | --silen | --sile | --sil)
-    silent=yes ;;
-
-  -sbindir | --sbindir | --sbindi | --sbind | --sbin | --sbi | --sb)
-    ac_prev=sbindir ;;
-  -sbindir=* | --sbindir=* | --sbindi=* | --sbind=* | --sbin=* \
-  | --sbi=* | --sb=*)
-    sbindir=$ac_optarg ;;
-
-  -sharedstatedir | --sharedstatedir | --sharedstatedi \
-  | --sharedstated | --sharedstate | --sharedstat | --sharedsta \
-  | --sharedst | --shareds | --shared | --share | --shar \
-  | --sha | --sh)
-    ac_prev=sharedstatedir ;;
-  -sharedstatedir=* | --sharedstatedir=* | --sharedstatedi=* \
-  | --sharedstated=* | --sharedstate=* | --sharedstat=* | --sharedsta=* \
-  | --sharedst=* | --shareds=* | --shared=* | --share=* | --shar=* \
-  | --sha=* | --sh=*)
-    sharedstatedir=$ac_optarg ;;
-
-  -site | --site | --sit)
-    ac_prev=site ;;
-  -site=* | --site=* | --sit=*)
-    site=$ac_optarg ;;
-
-  -srcdir | --srcdir | --srcdi | --srcd | --src | --sr)
-    ac_prev=srcdir ;;
-  -srcdir=* | --srcdir=* | --srcdi=* | --srcd=* | --src=* | --sr=*)
-    srcdir=$ac_optarg ;;
-
-  -sysconfdir | --sysconfdir | --sysconfdi | --sysconfd | --sysconf \
-  | --syscon | --sysco | --sysc | --sys | --sy)
-    ac_prev=sysconfdir ;;
-  -sysconfdir=* | --sysconfdir=* | --sysconfdi=* | --sysconfd=* | --sysconf=* \
-  | --syscon=* | --sysco=* | --sysc=* | --sys=* | --sy=*)
-    sysconfdir=$ac_optarg ;;
-
-  -target | --target | --targe | --targ | --tar | --ta | --t)
-    ac_prev=target_alias ;;
-  -target=* | --target=* | --targe=* | --targ=* | --tar=* | --ta=* | --t=*)
-    target_alias=$ac_optarg ;;
-
-  -v | -verbose | --verbose | --verbos | --verbo | --verb)
-    verbose=yes ;;
-
-  -version | --version | --versio | --versi | --vers | -V)
-    ac_init_version=: ;;
-
-  -with-* | --with-*)
-    ac_useropt=`expr "x$ac_option" : 'x-*with-\([^=]*\)'`
-    # Reject names that are not valid shell variable names.
-    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
-      as_fn_error "invalid package name: $ac_useropt"
-    ac_useropt_orig=$ac_useropt
-    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
-    case $ac_user_opts in
-      *"
-"with_$ac_useropt"
-"*) ;;
-      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--with-$ac_useropt_orig"
-	 ac_unrecognized_sep=', ';;
-    esac
-    eval with_$ac_useropt=\$ac_optarg ;;
-
-  -without-* | --without-*)
-    ac_useropt=`expr "x$ac_option" : 'x-*without-\(.*\)'`
-    # Reject names that are not valid shell variable names.
-    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
-      as_fn_error "invalid package name: $ac_useropt"
-    ac_useropt_orig=$ac_useropt
-    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
-    case $ac_user_opts in
-      *"
-"with_$ac_useropt"
-"*) ;;
-      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--without-$ac_useropt_orig"
-	 ac_unrecognized_sep=', ';;
-    esac
-    eval with_$ac_useropt=no ;;
-
-  --x)
-    # Obsolete; use --with-x.
-    with_x=yes ;;
-
-  -x-includes | --x-includes | --x-include | --x-includ | --x-inclu \
-  | --x-incl | --x-inc | --x-in | --x-i)
-    ac_prev=x_includes ;;
-  -x-includes=* | --x-includes=* | --x-include=* | --x-includ=* | --x-inclu=* \
-  | --x-incl=* | --x-inc=* | --x-in=* | --x-i=*)
-    x_includes=$ac_optarg ;;
-
-  -x-libraries | --x-libraries | --x-librarie | --x-librari \
-  | --x-librar | --x-libra | --x-libr | --x-lib | --x-li | --x-l)
-    ac_prev=x_libraries ;;
-  -x-libraries=* | --x-libraries=* | --x-librarie=* | --x-librari=* \
-  | --x-librar=* | --x-libra=* | --x-libr=* | --x-lib=* | --x-li=* | --x-l=*)
-    x_libraries=$ac_optarg ;;
-
-  -*) as_fn_error "unrecognized option: \`$ac_option'
-Try \`$0 --help' for more information."
-    ;;
-
-  *=*)
-    ac_envvar=`expr "x$ac_option" : 'x\([^=]*\)='`
-    # Reject names that are not valid shell variable names.
-    case $ac_envvar in #(
-      '' | [0-9]* | *[!_$as_cr_alnum]* )
-      as_fn_error "invalid variable name: \`$ac_envvar'" ;;
-    esac
-    eval $ac_envvar=\$ac_optarg
-    export $ac_envvar ;;
-
-  *)
-    # FIXME: should be removed in autoconf 3.0.
-    $as_echo "$as_me: WARNING: you should use --build, --host, --target" >&2
-    expr "x$ac_option" : ".*[^-._$as_cr_alnum]" >/dev/null &&
-      $as_echo "$as_me: WARNING: invalid host type: $ac_option" >&2
-    : ${build_alias=$ac_option} ${host_alias=$ac_option} ${target_alias=$ac_option}
-    ;;
-
-  esac
-done
-
-if test -n "$ac_prev"; then
-  ac_option=--`echo $ac_prev | sed 's/_/-/g'`
-  as_fn_error "missing argument to $ac_option"
-fi
-
-if test -n "$ac_unrecognized_opts"; then
-  case $enable_option_checking in
-    no) ;;
-    fatal) as_fn_error "unrecognized options: $ac_unrecognized_opts" ;;
-    *)     $as_echo "$as_me: WARNING: unrecognized options: $ac_unrecognized_opts" >&2 ;;
-  esac
-fi
-
-# Check all directory arguments for consistency.
-for ac_var in	exec_prefix prefix bindir sbindir libexecdir datarootdir \
-		datadir sysconfdir sharedstatedir localstatedir includedir \
-		oldincludedir docdir infodir htmldir dvidir pdfdir psdir \
-		libdir localedir mandir
-do
-  eval ac_val=\$$ac_var
-  # Remove trailing slashes.
-  case $ac_val in
-    */ )
-      ac_val=`expr "X$ac_val" : 'X\(.*[^/]\)' \| "X$ac_val" : 'X\(.*\)'`
-      eval $ac_var=\$ac_val;;
-  esac
-  # Be sure to have absolute directory names.
-  case $ac_val in
-    [\\/$]* | ?:[\\/]* )  continue;;
-    NONE | '' ) case $ac_var in *prefix ) continue;; esac;;
-  esac
-  as_fn_error "expected an absolute directory name for --$ac_var: $ac_val"
-done
-
-# There might be people who depend on the old broken behavior: `$host'
-# used to hold the argument of --host etc.
-# FIXME: To remove some day.
-build=$build_alias
-host=$host_alias
-target=$target_alias
-
-# FIXME: To remove some day.
-if test "x$host_alias" != x; then
-  if test "x$build_alias" = x; then
-    cross_compiling=maybe
-    $as_echo "$as_me: WARNING: If you wanted to set the --build type, don't use --host.
-    If a cross compiler is detected then cross compile mode will be used." >&2
-  elif test "x$build_alias" != "x$host_alias"; then
-    cross_compiling=yes
-  fi
-fi
-
-ac_tool_prefix=
-test -n "$host_alias" && ac_tool_prefix=$host_alias-
-
-test "$silent" = yes && exec 6>/dev/null
-
-
-ac_pwd=`pwd` && test -n "$ac_pwd" &&
-ac_ls_di=`ls -di .` &&
-ac_pwd_ls_di=`cd "$ac_pwd" && ls -di .` ||
-  as_fn_error "working directory cannot be determined"
-test "X$ac_ls_di" = "X$ac_pwd_ls_di" ||
-  as_fn_error "pwd does not report name of working directory"
-
-
-# Find the source files, if location was not specified.
-if test -z "$srcdir"; then
-  ac_srcdir_defaulted=yes
-  # Try the directory containing this script, then the parent directory.
-  ac_confdir=`$as_dirname -- "$as_myself" ||
-$as_expr X"$as_myself" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
-	 X"$as_myself" : 'X\(//\)[^/]' \| \
-	 X"$as_myself" : 'X\(//\)$' \| \
-	 X"$as_myself" : 'X\(/\)' \| . 2>/dev/null ||
-$as_echo X"$as_myself" |
-    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\/\)[^/].*/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\/\)$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\).*/{
-	    s//\1/
-	    q
-	  }
-	  s/.*/./; q'`
-  srcdir=$ac_confdir
-  if test ! -r "$srcdir/$ac_unique_file"; then
-    srcdir=..
-  fi
-else
-  ac_srcdir_defaulted=no
-fi
-if test ! -r "$srcdir/$ac_unique_file"; then
-  test "$ac_srcdir_defaulted" = yes && srcdir="$ac_confdir or .."
-  as_fn_error "cannot find sources ($ac_unique_file) in $srcdir"
-fi
-ac_msg="sources are in $srcdir, but \`cd $srcdir' does not work"
-ac_abs_confdir=`(
-	cd "$srcdir" && test -r "./$ac_unique_file" || as_fn_error "$ac_msg"
-	pwd)`
-# When building in place, set srcdir=.
-if test "$ac_abs_confdir" = "$ac_pwd"; then
-  srcdir=.
-fi
-# Remove unnecessary trailing slashes from srcdir.
-# Double slashes in file names in object file debugging info
-# mess up M-x gdb in Emacs.
-case $srcdir in
-*/) srcdir=`expr "X$srcdir" : 'X\(.*[^/]\)' \| "X$srcdir" : 'X\(.*\)'`;;
-esac
-for ac_var in $ac_precious_vars; do
-  eval ac_env_${ac_var}_set=\${${ac_var}+set}
-  eval ac_env_${ac_var}_value=\$${ac_var}
-  eval ac_cv_env_${ac_var}_set=\${${ac_var}+set}
-  eval ac_cv_env_${ac_var}_value=\$${ac_var}
-done
-
-#
-# Report the --help message.
-#
-if test "$ac_init_help" = "long"; then
-  # Omit some internal or obsolete options to make the list less imposing.
-  # This message is too long to be a string in the A/UX 3.1 sh.
-  cat <<_ACEOF
-\`configure' configures this package to adapt to many kinds of systems.
-
-Usage: $0 [OPTION]... [VAR=VALUE]...
-
-To assign environment variables (e.g., CC, CFLAGS...), specify them as
-VAR=VALUE.  See below for descriptions of some of the useful variables.
-
-Defaults for the options are specified in brackets.
-
-Configuration:
-  -h, --help              display this help and exit
-      --help=short        display options specific to this package
-      --help=recursive    display the short help of all the included packages
-  -V, --version           display version information and exit
-  -q, --quiet, --silent   do not print \`checking...' messages
-      --cache-file=FILE   cache test results in FILE [disabled]
-  -C, --config-cache      alias for \`--cache-file=config.cache'
-  -n, --no-create         do not create output files
-      --srcdir=DIR        find the sources in DIR [configure dir or \`..']
-
-Installation directories:
-  --prefix=PREFIX         install architecture-independent files in PREFIX
-                          [$ac_default_prefix]
-  --exec-prefix=EPREFIX   install architecture-dependent files in EPREFIX
-                          [PREFIX]
-
-By default, \`make install' will install all the files in
-\`$ac_default_prefix/bin', \`$ac_default_prefix/lib' etc.  You can specify
-an installation prefix other than \`$ac_default_prefix' using \`--prefix',
-for instance \`--prefix=\$HOME'.
-
-For better control, use the options below.
-
-Fine tuning of the installation directories:
-  --bindir=DIR            user executables [EPREFIX/bin]
-  --sbindir=DIR           system admin executables [EPREFIX/sbin]
-  --libexecdir=DIR        program executables [EPREFIX/libexec]
-  --sysconfdir=DIR        read-only single-machine data [PREFIX/etc]
-  --sharedstatedir=DIR    modifiable architecture-independent data [PREFIX/com]
-  --localstatedir=DIR     modifiable single-machine data [PREFIX/var]
-  --libdir=DIR            object code libraries [EPREFIX/lib]
-  --includedir=DIR        C header files [PREFIX/include]
-  --oldincludedir=DIR     C header files for non-gcc [/usr/include]
-  --datarootdir=DIR       read-only arch.-independent data root [PREFIX/share]
-  --datadir=DIR           read-only architecture-independent data [DATAROOTDIR]
-  --infodir=DIR           info documentation [DATAROOTDIR/info]
-  --localedir=DIR         locale-dependent data [DATAROOTDIR/locale]
-  --mandir=DIR            man documentation [DATAROOTDIR/man]
-  --docdir=DIR            documentation root [DATAROOTDIR/doc/PACKAGE]
-  --htmldir=DIR           html documentation [DOCDIR]
-  --dvidir=DIR            dvi documentation [DOCDIR]
-  --pdfdir=DIR            pdf documentation [DOCDIR]
-  --psdir=DIR             ps documentation [DOCDIR]
-_ACEOF
-
-  cat <<\_ACEOF
-
-Program names:
-  --program-prefix=PREFIX            prepend PREFIX to installed program names
-  --program-suffix=SUFFIX            append SUFFIX to installed program names
-  --program-transform-name=PROGRAM   run sed PROGRAM on installed program names
-_ACEOF
-fi
-
-if test -n "$ac_init_help"; then
-
-  cat <<\_ACEOF
-
-Optional Features:
-  --disable-option-checking  ignore unrecognized --enable/--with options
-  --disable-FEATURE       do not include FEATURE (same as --enable-FEATURE=no)
-  --enable-FEATURE[=ARG]  include FEATURE [ARG=yes]
-  --enable-maintainer-mode  enable make rules and dependencies not useful
-			  (and sometimes confusing) to the casual installer
-  --enable-debug            Enable building of debug code. [default: disabled]
-  --disable-dependency-tracking  speeds up one-time build
-  --enable-dependency-tracking   do not reject slow dependency extractors
-
-Optional Packages:
-  --with-PACKAGE[=ARG]    use PACKAGE [ARG=yes]
-  --without-PACKAGE       do not use PACKAGE (same as --with-PACKAGE=no)
-  --with-readline         support command line editing [default=yes]
-
-Some influential environment variables:
-  CXX         C++ compiler command
-  CXXFLAGS    C++ compiler flags
-  LDFLAGS     linker flags, e.g. -L<lib dir> if you have libraries in a
-              nonstandard directory <lib dir>
-  LIBS        libraries to pass to the linker, e.g. -l<library>
-  CPPFLAGS    (Objective) C/C++ preprocessor flags, e.g. -I<include dir> if
-              you have headers in a nonstandard directory <include dir>
-
-Use these variables to override the choices made by `configure' or to help
-it to find libraries and programs with nonstandard names/locations.
-
-Report bugs to the package provider.
-_ACEOF
-ac_status=$?
-fi
-
-if test "$ac_init_help" = "recursive"; then
-  # If there are subdirs, report their specific --help.
-  for ac_dir in : $ac_subdirs_all; do test "x$ac_dir" = x: && continue
-    test -d "$ac_dir" ||
-      { cd "$srcdir" && ac_pwd=`pwd` && srcdir=. && test -d "$ac_dir"; } ||
-      continue
-    ac_builddir=.
-
-case "$ac_dir" in
-.) ac_dir_suffix= ac_top_builddir_sub=. ac_top_build_prefix= ;;
-*)
-  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
-  # A ".." for each directory in $ac_dir_suffix.
-  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
-  case $ac_top_builddir_sub in
-  "") ac_top_builddir_sub=. ac_top_build_prefix= ;;
-  *)  ac_top_build_prefix=$ac_top_builddir_sub/ ;;
-  esac ;;
-esac
-ac_abs_top_builddir=$ac_pwd
-ac_abs_builddir=$ac_pwd$ac_dir_suffix
-# for backward compatibility:
-ac_top_builddir=$ac_top_build_prefix
-
-case $srcdir in
-  .)  # We are building in place.
-    ac_srcdir=.
-    ac_top_srcdir=$ac_top_builddir_sub
-    ac_abs_top_srcdir=$ac_pwd ;;
-  [\\/]* | ?:[\\/]* )  # Absolute name.
-    ac_srcdir=$srcdir$ac_dir_suffix;
-    ac_top_srcdir=$srcdir
-    ac_abs_top_srcdir=$srcdir ;;
-  *) # Relative name.
-    ac_srcdir=$ac_top_build_prefix$srcdir$ac_dir_suffix
-    ac_top_srcdir=$ac_top_build_prefix$srcdir
-    ac_abs_top_srcdir=$ac_pwd/$srcdir ;;
-esac
-ac_abs_srcdir=$ac_abs_top_srcdir$ac_dir_suffix
-
-    cd "$ac_dir" || { ac_status=$?; continue; }
-    # Check for guested configure.
-    if test -f "$ac_srcdir/configure.gnu"; then
-      echo &&
-      $SHELL "$ac_srcdir/configure.gnu" --help=recursive
-    elif test -f "$ac_srcdir/configure"; then
-      echo &&
-      $SHELL "$ac_srcdir/configure" --help=recursive
+#!/bin/sh
+
+#---------------------------------------------------
+#  This stuff introduced by SDB to enable --prefix
+#  at configure time
+
+# echo Input flag = $1
+if test "x$1" != "x"; then
+    # echo Found input parameter -- $1
+    #  Now see if the parameter is --prefix=
+    if test "x${1#--prefix=}" != "x$1"; then
+	# echo "Found --prefix in input args.  Setting prefix directory."
+	prefix=${1#--prefix=}
     else
-      $as_echo "$as_me: WARNING: no configuration information is in $ac_dir" >&2
-    fi || ac_status=$?
-    cd "$ac_pwd" || { ac_status=$?; break; }
-  done
-fi
-
-test -n "$ac_init_help" && exit $ac_status
-if $ac_init_version; then
-  cat <<\_ACEOF
-configure
-generated by GNU Autoconf 2.65
-
-Copyright (C) 2009 Free Software Foundation, Inc.
-This configure script is free software; the Free Software Foundation
-gives unlimited permission to copy, distribute and modify it.
-_ACEOF
-  exit
-fi
-
-## ------------------------ ##
-## Autoconf initialization. ##
-## ------------------------ ##
-
-# ac_fn_cxx_try_compile LINENO
-# ----------------------------
-# Try to compile conftest.$ac_ext, and return whether this succeeded.
-ac_fn_cxx_try_compile ()
-{
-  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
-  rm -f conftest.$ac_objext
-  if { { ac_try="$ac_compile"
-case "(($ac_try" in
-  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
-  *) ac_try_echo=$ac_try;;
-esac
-eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
-$as_echo "$ac_try_echo"; } >&5
-  (eval "$ac_compile") 2>conftest.err
-  ac_status=$?
-  if test -s conftest.err; then
-    grep -v '^ *+' conftest.err >conftest.er1
-    cat conftest.er1 >&5
-    mv -f conftest.er1 conftest.err
-  fi
-  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
-  test $ac_status = 0; } && {
-	 test -z "$ac_cxx_werror_flag" ||
-	 test ! -s conftest.err
-       } && test -s conftest.$ac_objext; then :
-  ac_retval=0
-else
-  $as_echo "$as_me: failed program was:" >&5
-sed 's/^/| /' conftest.$ac_ext >&5
-
-	ac_retval=1
-fi
-  eval $as_lineno_stack; test "x$as_lineno_stack" = x && { as_lineno=; unset as_lineno;}
-  as_fn_set_status $ac_retval
-
-} # ac_fn_cxx_try_compile
-
-# ac_fn_cxx_try_link LINENO
-# -------------------------
-# Try to link conftest.$ac_ext, and return whether this succeeded.
-ac_fn_cxx_try_link ()
-{
-  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
-  rm -f conftest.$ac_objext conftest$ac_exeext
-  if { { ac_try="$ac_link"
-case "(($ac_try" in
-  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
-  *) ac_try_echo=$ac_try;;
-esac
-eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
-$as_echo "$ac_try_echo"; } >&5
-  (eval "$ac_link") 2>conftest.err
-  ac_status=$?
-  if test -s conftest.err; then
-    grep -v '^ *+' conftest.err >conftest.er1
-    cat conftest.er1 >&5
-    mv -f conftest.er1 conftest.err
-  fi
-  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
-  test $ac_status = 0; } && {
-	 test -z "$ac_cxx_werror_flag" ||
-	 test ! -s conftest.err
-       } && test -s conftest$ac_exeext && {
-	 test "$cross_compiling" = yes ||
-	 $as_test_x conftest$ac_exeext
-       }; then :
-  ac_retval=0
-else
-  $as_echo "$as_me: failed program was:" >&5
-sed 's/^/| /' conftest.$ac_ext >&5
-
-	ac_retval=1
-fi
-  # Delete the IPA/IPO (Inter Procedural Analysis/Optimization) information
-  # created by the PGI compiler (conftest_ipa8_conftest.oo), as it would
-  # interfere with the next link command; also delete a directory that is
-  # left behind by Apple's compiler.  We do this before executing the actions.
-  rm -rf conftest.dSYM conftest_ipa8_conftest.oo
-  eval $as_lineno_stack; test "x$as_lineno_stack" = x && { as_lineno=; unset as_lineno;}
-  as_fn_set_status $ac_retval
-
-} # ac_fn_cxx_try_link
-cat >config.log <<_ACEOF
-This file contains any messages produced by compilers while
-running configure, to aid debugging if configure makes a mistake.
-
-It was created by $as_me, which was
-generated by GNU Autoconf 2.65.  Invocation command line was
-
-  $ $0 $@
-
-_ACEOF
-exec 5>>config.log
-{
-cat <<_ASUNAME
-## --------- ##
-## Platform. ##
-## --------- ##
-
-hostname = `(hostname || uname -n) 2>/dev/null | sed 1q`
-uname -m = `(uname -m) 2>/dev/null || echo unknown`
-uname -r = `(uname -r) 2>/dev/null || echo unknown`
-uname -s = `(uname -s) 2>/dev/null || echo unknown`
-uname -v = `(uname -v) 2>/dev/null || echo unknown`
-
-/usr/bin/uname -p = `(/usr/bin/uname -p) 2>/dev/null || echo unknown`
-/bin/uname -X     = `(/bin/uname -X) 2>/dev/null     || echo unknown`
-
-/bin/arch              = `(/bin/arch) 2>/dev/null              || echo unknown`
-/usr/bin/arch -k       = `(/usr/bin/arch -k) 2>/dev/null       || echo unknown`
-/usr/convex/getsysinfo = `(/usr/convex/getsysinfo) 2>/dev/null || echo unknown`
-/usr/bin/hostinfo      = `(/usr/bin/hostinfo) 2>/dev/null      || echo unknown`
-/bin/machine           = `(/bin/machine) 2>/dev/null           || echo unknown`
-/usr/bin/oslevel       = `(/usr/bin/oslevel) 2>/dev/null       || echo unknown`
-/bin/universe          = `(/bin/universe) 2>/dev/null          || echo unknown`
-
-_ASUNAME
-
-as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
-  IFS=$as_save_IFS
-  test -z "$as_dir" && as_dir=.
-    $as_echo "PATH: $as_dir"
-  done
-IFS=$as_save_IFS
-
-} >&5
-
-cat >&5 <<_ACEOF
-
-
-## ----------- ##
-## Core tests. ##
-## ----------- ##
-
-_ACEOF
-
-
-# Keep a trace of the command line.
-# Strip out --no-create and --no-recursion so they do not pile up.
-# Strip out --silent because we don't want to record it for future runs.
-# Also quote any args containing shell meta-characters.
-# Make two passes to allow for proper duplicate-argument suppression.
-ac_configure_args=
-ac_configure_args0=
-ac_configure_args1=
-ac_must_keep_next=false
-for ac_pass in 1 2
-do
-  for ac_arg
-  do
-    case $ac_arg in
-    -no-create | --no-c* | -n | -no-recursion | --no-r*) continue ;;
-    -q | -quiet | --quiet | --quie | --qui | --qu | --q \
-    | -silent | --silent | --silen | --sile | --sil)
-      continue ;;
-    *\'*)
-      ac_arg=`$as_echo "$ac_arg" | sed "s/'/'\\\\\\\\''/g"` ;;
-    esac
-    case $ac_pass in
-    1) as_fn_append ac_configure_args0 " '$ac_arg'" ;;
-    2)
-      as_fn_append ac_configure_args1 " '$ac_arg'"
-      if test $ac_must_keep_next = true; then
-	ac_must_keep_next=false # Got value, back to normal.
-      else
-	case $ac_arg in
-	  *=* | --config-cache | -C | -disable-* | --disable-* \
-	  | -enable-* | --enable-* | -gas | --g* | -nfp | --nf* \
-	  | -q | -quiet | --q* | -silent | --sil* | -v | -verb* \
-	  | -with-* | --with-* | -without-* | --without-* | --x)
-	    case "$ac_configure_args0 " in
-	      "$ac_configure_args1"*" '$ac_arg' "* ) continue ;;
-	    esac
-	    ;;
-	  -* ) ac_must_keep_next=true ;;
-	esac
-      fi
-      as_fn_append ac_configure_args " '$ac_arg'"
-      ;;
-    esac
-  done
-done
-{ ac_configure_args0=; unset ac_configure_args0;}
-{ ac_configure_args1=; unset ac_configure_args1;}
-
-# When interrupted or exit'd, cleanup temporary files, and complete
-# config.log.  We remove comments because anyway the quotes in there
-# would cause problems or look ugly.
-# WARNING: Use '\'' to represent an apostrophe within the trap.
-# WARNING: Do not start the trap code with a newline, due to a FreeBSD 4.0 bug.
-trap 'exit_status=$?
-  # Save into config.log some information that might help in debugging.
-  {
-    echo
-
-    cat <<\_ASBOX
-## ---------------- ##
-## Cache variables. ##
-## ---------------- ##
-_ASBOX
-    echo
-    # The following way of writing the cache mishandles newlines in values,
-(
-  for ac_var in `(set) 2>&1 | sed -n '\''s/^\([a-zA-Z_][a-zA-Z0-9_]*\)=.*/\1/p'\''`; do
-    eval ac_val=\$$ac_var
-    case $ac_val in #(
-    *${as_nl}*)
-      case $ac_var in #(
-      *_cv_*) { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: cache variable $ac_var contains a newline" >&5
-$as_echo "$as_me: WARNING: cache variable $ac_var contains a newline" >&2;} ;;
-      esac
-      case $ac_var in #(
-      _ | IFS | as_nl) ;; #(
-      BASH_ARGV | BASH_SOURCE) eval $ac_var= ;; #(
-      *) { eval $ac_var=; unset $ac_var;} ;;
-      esac ;;
-    esac
-  done
-  (set) 2>&1 |
-    case $as_nl`(ac_space='\'' '\''; set) 2>&1` in #(
-    *${as_nl}ac_space=\ *)
-      sed -n \
-	"s/'\''/'\''\\\\'\'''\''/g;
-	  s/^\\([_$as_cr_alnum]*_cv_[_$as_cr_alnum]*\\)=\\(.*\\)/\\1='\''\\2'\''/p"
-      ;; #(
-    *)
-      sed -n "/^[_$as_cr_alnum]*_cv_[_$as_cr_alnum]*=/p"
-      ;;
-    esac |
-    sort
-)
-    echo
-
-    cat <<\_ASBOX
-## ----------------- ##
-## Output variables. ##
-## ----------------- ##
-_ASBOX
-    echo
-    for ac_var in $ac_subst_vars
-    do
-      eval ac_val=\$$ac_var
-      case $ac_val in
-      *\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
-      esac
-      $as_echo "$ac_var='\''$ac_val'\''"
-    done | sort
-    echo
-
-    if test -n "$ac_subst_files"; then
-      cat <<\_ASBOX
-## ------------------- ##
-## File substitutions. ##
-## ------------------- ##
-_ASBOX
-      echo
-      for ac_var in $ac_subst_files
-      do
-	eval ac_val=\$$ac_var
-	case $ac_val in
-	*\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
-	esac
-	$as_echo "$ac_var='\''$ac_val'\''"
-      done | sort
-      echo
-    fi
-
-    if test -s confdefs.h; then
-      cat <<\_ASBOX
-## ----------- ##
-## confdefs.h. ##
-## ----------- ##
-_ASBOX
-      echo
-      cat confdefs.h
-      echo
-    fi
-    test "$ac_signal" != 0 &&
-      $as_echo "$as_me: caught signal $ac_signal"
-    $as_echo "$as_me: exit $exit_status"
-  } >&5
-  rm -f core *.core core.conftest.* &&
-    rm -f -r conftest* confdefs* conf$$* $ac_clean_files &&
-    exit $exit_status
-' 0
-for ac_signal in 1 2 13 15; do
-  trap 'ac_signal='$ac_signal'; as_fn_exit 1' $ac_signal
-done
-ac_signal=0
-
-# confdefs.h avoids OS command line length limits that DEFS can exceed.
-rm -f -r conftest* confdefs.h
-
-$as_echo "/* confdefs.h */" > confdefs.h
-
-# Predefined preprocessor variables.
-
-cat >>confdefs.h <<_ACEOF
-#define PACKAGE_NAME "$PACKAGE_NAME"
-_ACEOF
-
-cat >>confdefs.h <<_ACEOF
-#define PACKAGE_TARNAME "$PACKAGE_TARNAME"
-_ACEOF
-
-cat >>confdefs.h <<_ACEOF
-#define PACKAGE_VERSION "$PACKAGE_VERSION"
-_ACEOF
-
-cat >>confdefs.h <<_ACEOF
-#define PACKAGE_STRING "$PACKAGE_STRING"
-_ACEOF
-
-cat >>confdefs.h <<_ACEOF
-#define PACKAGE_BUGREPORT "$PACKAGE_BUGREPORT"
-_ACEOF
-
-cat >>confdefs.h <<_ACEOF
-#define PACKAGE_URL "$PACKAGE_URL"
-_ACEOF
-
-
-# Let the site file select an alternate cache file if it wants to.
-# Prefer an explicitly selected file to automatically selected ones.
-ac_site_file1=NONE
-ac_site_file2=NONE
-if test -n "$CONFIG_SITE"; then
-  ac_site_file1=$CONFIG_SITE
-elif test "x$prefix" != xNONE; then
-  ac_site_file1=$prefix/share/config.site
-  ac_site_file2=$prefix/etc/config.site
-else
-  ac_site_file1=$ac_default_prefix/share/config.site
-  ac_site_file2=$ac_default_prefix/etc/config.site
-fi
-for ac_site_file in "$ac_site_file1" "$ac_site_file2"
-do
-  test "x$ac_site_file" = xNONE && continue
-  if test /dev/null != "$ac_site_file" && test -r "$ac_site_file"; then
-    { $as_echo "$as_me:${as_lineno-$LINENO}: loading site script $ac_site_file" >&5
-$as_echo "$as_me: loading site script $ac_site_file" >&6;}
-    sed 's/^/| /' "$ac_site_file" >&5
-    . "$ac_site_file"
-  fi
-done
-
-if test -r "$cache_file"; then
-  # Some versions of bash will fail to source /dev/null (special files
-  # actually), so we avoid doing that.  DJGPP emulates it as a regular file.
-  if test /dev/null != "$cache_file" && test -f "$cache_file"; then
-    { $as_echo "$as_me:${as_lineno-$LINENO}: loading cache $cache_file" >&5
-$as_echo "$as_me: loading cache $cache_file" >&6;}
-    case $cache_file in
-      [\\/]* | ?:[\\/]* ) . "$cache_file";;
-      *)                      . "./$cache_file";;
-    esac
-  fi
-else
-  { $as_echo "$as_me:${as_lineno-$LINENO}: creating cache $cache_file" >&5
-$as_echo "$as_me: creating cache $cache_file" >&6;}
-  >$cache_file
-fi
-
-# Check that the precious variables saved in the cache have kept the same
-# value.
-ac_cache_corrupted=false
-for ac_var in $ac_precious_vars; do
-  eval ac_old_set=\$ac_cv_env_${ac_var}_set
-  eval ac_new_set=\$ac_env_${ac_var}_set
-  eval ac_old_val=\$ac_cv_env_${ac_var}_value
-  eval ac_new_val=\$ac_env_${ac_var}_value
-  case $ac_old_set,$ac_new_set in
-    set,)
-      { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&5
-$as_echo "$as_me: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&2;}
-      ac_cache_corrupted=: ;;
-    ,set)
-      { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' was not set in the previous run" >&5
-$as_echo "$as_me: error: \`$ac_var' was not set in the previous run" >&2;}
-      ac_cache_corrupted=: ;;
-    ,);;
-    *)
-      if test "x$ac_old_val" != "x$ac_new_val"; then
-	# differences in whitespace do not lead to failure.
-	ac_old_val_w=`echo x $ac_old_val`
-	ac_new_val_w=`echo x $ac_new_val`
-	if test "$ac_old_val_w" != "$ac_new_val_w"; then
-	  { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' has changed since the previous run:" >&5
-$as_echo "$as_me: error: \`$ac_var' has changed since the previous run:" >&2;}
-	  ac_cache_corrupted=:
-	else
-	  { $as_echo "$as_me:${as_lineno-$LINENO}: warning: ignoring whitespace changes in \`$ac_var' since the previous run:" >&5
-$as_echo "$as_me: warning: ignoring whitespace changes in \`$ac_var' since the previous run:" >&2;}
-	  eval $ac_var=\$ac_old_val
-	fi
-	{ $as_echo "$as_me:${as_lineno-$LINENO}:   former value:  \`$ac_old_val'" >&5
-$as_echo "$as_me:   former value:  \`$ac_old_val'" >&2;}
-	{ $as_echo "$as_me:${as_lineno-$LINENO}:   current value: \`$ac_new_val'" >&5
-$as_echo "$as_me:   current value: \`$ac_new_val'" >&2;}
-      fi;;
-  esac
-  # Pass precious variables to config.status.
-  if test "$ac_new_set" = set; then
-    case $ac_new_val in
-    *\'*) ac_arg=$ac_var=`$as_echo "$ac_new_val" | sed "s/'/'\\\\\\\\''/g"` ;;
-    *) ac_arg=$ac_var=$ac_new_val ;;
-    esac
-    case " $ac_configure_args " in
-      *" '$ac_arg' "*) ;; # Avoid dups.  Use of quotes ensures accuracy.
-      *) as_fn_append ac_configure_args " '$ac_arg'" ;;
-    esac
-  fi
-done
-if $ac_cache_corrupted; then
-  { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
-$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
-  { $as_echo "$as_me:${as_lineno-$LINENO}: error: changes in the environment can compromise the build" >&5
-$as_echo "$as_me: error: changes in the environment can compromise the build" >&2;}
-  as_fn_error "run \`make distclean' and/or \`rm $cache_file' and start over" "$LINENO" 5
-fi
-## -------------------- ##
-## Main body of script. ##
-## -------------------- ##
-
-ac_ext=c
-ac_cpp='$CPP $CPPFLAGS'
-ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
-ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
-ac_compiler_gnu=$ac_cv_c_compiler_gnu
-
-
-# this is any file in the source directory
-# used as a check to be sure we found it
-
-am__api_version='1.11'
-
-ac_aux_dir=
-for ac_dir in "$srcdir" "$srcdir/.." "$srcdir/../.."; do
-  for ac_t in install-sh install.sh shtool; do
-    if test -f "$ac_dir/$ac_t"; then
-      ac_aux_dir=$ac_dir
-      ac_install_sh="$ac_aux_dir/$ac_t -c"
-      break 2
+	# echo "Found unrecognized parameter in input args."
+	#  Just use the default prefix dir.
+	prefix=/usr/local
     fi
-  done
-done
-if test -z "$ac_aux_dir"; then
-  as_fn_error "cannot find install-sh, install.sh, or shtool in \"$srcdir\" \"$srcdir/..\" \"$srcdir/../..\"" "$LINENO" 5
-fi
 
-# These three variables are undocumented and unsupported,
-# and are intended to be withdrawn in a future Autoconf release.
-# They can cause serious problems if a builder's source tree is in a directory
-# whose full name contains unusual characters.
-ac_config_guess="$SHELL $ac_aux_dir/config.guess"  # Please don't use this var.
-ac_config_sub="$SHELL $ac_aux_dir/config.sub"  # Please don't use this var.
-ac_configure="$SHELL $ac_aux_dir/configure"  # Please don't use this var.
-
-
-# Find a good install program.  We prefer a C program (faster),
-# so one script is as good as another.  But avoid the broken or
-# incompatible versions:
-# SysV /etc/install, /usr/sbin/install
-# SunOS /usr/etc/install
-# IRIX /sbin/install
-# AIX /bin/install
-# AmigaOS /C/install, which installs bootblocks on floppy discs
-# AIX 4 /usr/bin/installbsd, which doesn't work without a -g flag
-# AFS /usr/afsws/bin/install, which mishandles nonexistent args
-# SVR4 /usr/ucb/install, which tries to use the nonexistent group "staff"
-# OS/2's system install, which has a completely different semantic
-# ./install, which can be erroneously created by make from ./install.sh.
-# Reject install programs that cannot install multiple files.
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for a BSD-compatible install" >&5
-$as_echo_n "checking for a BSD-compatible install... " >&6; }
-if test -z "$INSTALL"; then
-if test "${ac_cv_path_install+set}" = set; then :
-  $as_echo_n "(cached) " >&6
 else
-  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
-  IFS=$as_save_IFS
-  test -z "$as_dir" && as_dir=.
-    # Account for people who put trailing slashes in PATH elements.
-case $as_dir/ in #((
-  ./ | .// | /[cC]/* | \
-  /etc/* | /usr/sbin/* | /usr/etc/* | /sbin/* | /usr/afsws/bin/* | \
-  ?:[\\/]os2[\\/]install[\\/]* | ?:[\\/]OS2[\\/]INSTALL[\\/]* | \
-  /usr/ucb/* ) ;;
-  *)
-    # OSF1 and SCO ODT 3.0 have their own names for install.
-    # Don't use installbsd from OSF since it installs stuff as root
-    # by default.
-    for ac_prog in ginstall scoinst install; do
-      for ac_exec_ext in '' $ac_executable_extensions; do
-	if { test -f "$as_dir/$ac_prog$ac_exec_ext" && $as_test_x "$as_dir/$ac_prog$ac_exec_ext"; }; then
-	  if test $ac_prog = install &&
-	    grep dspmsg "$as_dir/$ac_prog$ac_exec_ext" >/dev/null 2>&1; then
-	    # AIX install.  It has an incompatible calling convention.
-	    :
-	  elif test $ac_prog = install &&
-	    grep pwplus "$as_dir/$ac_prog$ac_exec_ext" >/dev/null 2>&1; then
-	    # program-specific install script used by HP pwplus--don't use.
-	    :
-	  else
-	    rm -rf conftest.one conftest.two conftest.dir
-	    echo one > conftest.one
-	    echo two > conftest.two
-	    mkdir conftest.dir
-	    if "$as_dir/$ac_prog$ac_exec_ext" -c conftest.one conftest.two "`pwd`/conftest.dir" &&
-	      test -s conftest.one && test -s conftest.two &&
-	      test -s conftest.dir/conftest.one &&
-	      test -s conftest.dir/conftest.two
-	    then
-	      ac_cv_path_install="$as_dir/$ac_prog$ac_exec_ext -c"
-	      break 3
-	    fi
-	  fi
-	fi
-      done
-    done
-    ;;
-esac
-
-  done
-IFS=$as_save_IFS
-
-rm -rf conftest.one conftest.two conftest.dir
-
-fi
-  if test "${ac_cv_path_install+set}" = set; then
-    INSTALL=$ac_cv_path_install
-  else
-    # As a last resort, use the slow shell script.  Don't cache a
-    # value for INSTALL within a source directory, because that will
-    # break other packages using the cache if that directory is
-    # removed, or if the value is a relative name.
-    INSTALL=$ac_install_sh
-  fi
+    # echo "No input parameter found."
+    #  Just use the default prefix dir
+    prefix=/usr/local
 fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $INSTALL" >&5
-$as_echo "$INSTALL" >&6; }
-
-# Use test -z because SunOS4 sh mishandles braces in ${var-val}.
-# It thinks the first close brace ends the variable substitution.
-test -z "$INSTALL_PROGRAM" && INSTALL_PROGRAM='${INSTALL}'
-
-test -z "$INSTALL_SCRIPT" && INSTALL_SCRIPT='${INSTALL}'
-
-test -z "$INSTALL_DATA" && INSTALL_DATA='${INSTALL} -m 644'
-
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether build environment is sane" >&5
-$as_echo_n "checking whether build environment is sane... " >&6; }
-# Just in case
-sleep 1
-echo timestamp > conftest.file
-# Reject unsafe characters in $srcdir or the absolute working directory
-# name.  Accept space and tab only in the latter.
-am_lf='
-'
-case `pwd` in
-  *[\\\"\#\$\&\'\`$am_lf]*)
-    as_fn_error "unsafe absolute working directory name" "$LINENO" 5;;
-esac
-case $srcdir in
-  *[\\\"\#\$\&\'\`$am_lf\ \	]*)
-    as_fn_error "unsafe srcdir value: \`$srcdir'" "$LINENO" 5;;
-esac
-
-# Do `set' in a subshell so we don't clobber the current shell's
-# arguments.  Must try -L first in case configure is actually a
-# symlink; some systems play weird games with the mod time of symlinks
-# (eg FreeBSD returns the mod time of the symlink's containing
-# directory).
-if (
-   set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null`
-   if test "$*" = "X"; then
-      # -L didn't work.
-      set X `ls -t "$srcdir/configure" conftest.file`
-   fi
-   rm -f conftest.file
-   if test "$*" != "X $srcdir/configure conftest.file" \
-      && test "$*" != "X conftest.file $srcdir/configure"; then
-
-      # If neither matched, then we have a broken ls.  This can happen
-      # if, for instance, CONFIG_SHELL is bash and it inherits a
-      # broken ls alias from the environment.  This has actually
-      # happened.  Such a system could not be considered "sane".
-      as_fn_error "ls -t appears to fail.  Make sure there is not a broken
-alias in your environment" "$LINENO" 5
-   fi
-
-   test "$2" = conftest.file
-   )
-then
-   # Ok.
-   :
-else
-   as_fn_error "newly created file is older than distributed files!
-Check your system clock" "$LINENO" 5
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
-$as_echo "yes" >&6; }
-test "$program_prefix" != NONE &&
-  program_transform_name="s&^&$program_prefix&;$program_transform_name"
-# Use a double $ so make ignores it.
-test "$program_suffix" != NONE &&
-  program_transform_name="s&\$&$program_suffix&;$program_transform_name"
-# Double any \ or $.
-# By default was `s,x,x', remove it if useless.
-ac_script='s/[\\$]/&&/g;s/;s,x,x,$//'
-program_transform_name=`$as_echo "$program_transform_name" | sed "$ac_script"`
-
-# expand $ac_aux_dir to an absolute path
-am_aux_dir=`cd $ac_aux_dir && pwd`
-
-if test x"${MISSING+set}" != xset; then
-  case $am_aux_dir in
-  *\ * | *\	*)
-    MISSING="\${SHELL} \"$am_aux_dir/missing\"" ;;
-  *)
-    MISSING="\${SHELL} $am_aux_dir/missing" ;;
-  esac
-fi
-# Use eval to expand $SHELL
-if eval "$MISSING --run true"; then
-  am_missing_run="$MISSING --run "
-else
-  am_missing_run=
-  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`missing' script is too old or missing" >&5
-$as_echo "$as_me: WARNING: \`missing' script is too old or missing" >&2;}
-fi
-
-if test x"${install_sh}" != xset; then
-  case $am_aux_dir in
-  *\ * | *\	*)
-    install_sh="\${SHELL} '$am_aux_dir/install-sh'" ;;
-  *)
-    install_sh="\${SHELL} $am_aux_dir/install-sh"
-  esac
-fi
-
-# Installed binaries are usually stripped using `strip' when the user
-# run `make install-strip'.  However `strip' might not be the right
-# tool to use in cross-compilation environments, therefore Automake
-# will honor the `STRIP' environment variable to overrule this program.
-if test "$cross_compiling" != no; then
-  if test -n "$ac_tool_prefix"; then
-  # Extract the first word of "${ac_tool_prefix}strip", so it can be a program name with args.
-set dummy ${ac_tool_prefix}strip; ac_word=$2
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
-$as_echo_n "checking for $ac_word... " >&6; }
-if test "${ac_cv_prog_STRIP+set}" = set; then :
-  $as_echo_n "(cached) " >&6
-else
-  if test -n "$STRIP"; then
-  ac_cv_prog_STRIP="$STRIP" # Let the user override the test.
-else
-as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
-  IFS=$as_save_IFS
-  test -z "$as_dir" && as_dir=.
-    for ac_exec_ext in '' $ac_executable_extensions; do
-  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
-    ac_cv_prog_STRIP="${ac_tool_prefix}strip"
-    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
-    break 2
-  fi
-done
-  done
-IFS=$as_save_IFS
-
-fi
-fi
-STRIP=$ac_cv_prog_STRIP
-if test -n "$STRIP"; then
-  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $STRIP" >&5
-$as_echo "$STRIP" >&6; }
-else
-  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
-fi
-
-
-fi
-if test -z "$ac_cv_prog_STRIP"; then
-  ac_ct_STRIP=$STRIP
-  # Extract the first word of "strip", so it can be a program name with args.
-set dummy strip; ac_word=$2
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
-$as_echo_n "checking for $ac_word... " >&6; }
-if test "${ac_cv_prog_ac_ct_STRIP+set}" = set; then :
-  $as_echo_n "(cached) " >&6
-else
-  if test -n "$ac_ct_STRIP"; then
-  ac_cv_prog_ac_ct_STRIP="$ac_ct_STRIP" # Let the user override the test.
-else
-as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
-  IFS=$as_save_IFS
-  test -z "$as_dir" && as_dir=.
-    for ac_exec_ext in '' $ac_executable_extensions; do
-  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
-    ac_cv_prog_ac_ct_STRIP="strip"
-    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
-    break 2
-  fi
-done
-  done
-IFS=$as_save_IFS
-
-fi
-fi
-ac_ct_STRIP=$ac_cv_prog_ac_ct_STRIP
-if test -n "$ac_ct_STRIP"; then
-  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_STRIP" >&5
-$as_echo "$ac_ct_STRIP" >&6; }
-else
-  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
-fi
-
-  if test "x$ac_ct_STRIP" = x; then
-    STRIP=":"
-  else
-    case $cross_compiling:$ac_tool_warned in
-yes:)
-{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
-$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
-ac_tool_warned=yes ;;
-esac
-    STRIP=$ac_ct_STRIP
-  fi
-else
-  STRIP="$ac_cv_prog_STRIP"
-fi
-
-fi
-INSTALL_STRIP_PROGRAM="\$(install_sh) -c -s"
-
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for a thread-safe mkdir -p" >&5
-$as_echo_n "checking for a thread-safe mkdir -p... " >&6; }
-if test -z "$MKDIR_P"; then
-  if test "${ac_cv_path_mkdir+set}" = set; then :
-  $as_echo_n "(cached) " >&6
-else
-  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH$PATH_SEPARATOR/opt/sfw/bin
-do
-  IFS=$as_save_IFS
-  test -z "$as_dir" && as_dir=.
-    for ac_prog in mkdir gmkdir; do
-	 for ac_exec_ext in '' $ac_executable_extensions; do
-	   { test -f "$as_dir/$ac_prog$ac_exec_ext" && $as_test_x "$as_dir/$ac_prog$ac_exec_ext"; } || continue
-	   case `"$as_dir/$ac_prog$ac_exec_ext" --version 2>&1` in #(
-	     'mkdir (GNU coreutils) '* | \
-	     'mkdir (coreutils) '* | \
-	     'mkdir (fileutils) '4.1*)
-	       ac_cv_path_mkdir=$as_dir/$ac_prog$ac_exec_ext
-	       break 3;;
-	   esac
-	 done
-       done
-  done
-IFS=$as_save_IFS
-
-fi
-
-  test -d ./--version && rmdir ./--version
-  if test "${ac_cv_path_mkdir+set}" = set; then
-    MKDIR_P="$ac_cv_path_mkdir -p"
-  else
-    # As a last resort, use the slow shell script.  Don't cache a
-    # value for MKDIR_P within a source directory, because that will
-    # break other packages using the cache if that directory is
-    # removed, or if the value is a relative name.
-    MKDIR_P="$ac_install_sh -d"
-  fi
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $MKDIR_P" >&5
-$as_echo "$MKDIR_P" >&6; }
-
-mkdir_p="$MKDIR_P"
-case $mkdir_p in
-  [\\/$]* | ?:[\\/]*) ;;
-  */*) mkdir_p="\$(top_builddir)/$mkdir_p" ;;
-esac
-
-for ac_prog in gawk mawk nawk awk
-do
-  # Extract the first word of "$ac_prog", so it can be a program name with args.
-set dummy $ac_prog; ac_word=$2
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
-$as_echo_n "checking for $ac_word... " >&6; }
-if test "${ac_cv_prog_AWK+set}" = set; then :
-  $as_echo_n "(cached) " >&6
-else
-  if test -n "$AWK"; then
-  ac_cv_prog_AWK="$AWK" # Let the user override the test.
-else
-as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
-  IFS=$as_save_IFS
-  test -z "$as_dir" && as_dir=.
-    for ac_exec_ext in '' $ac_executable_extensions; do
-  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
-    ac_cv_prog_AWK="$ac_prog"
-    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
-    break 2
-  fi
-done
-  done
-IFS=$as_save_IFS
-
-fi
-fi
-AWK=$ac_cv_prog_AWK
-if test -n "$AWK"; then
-  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $AWK" >&5
-$as_echo "$AWK" >&6; }
-else
-  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
-fi
-
-
-  test -n "$AWK" && break
-done
-
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether ${MAKE-make} sets \$(MAKE)" >&5
-$as_echo_n "checking whether ${MAKE-make} sets \$(MAKE)... " >&6; }
-set x ${MAKE-make}
-ac_make=`$as_echo "$2" | sed 's/+/p/g; s/[^a-zA-Z0-9_]/_/g'`
-if { as_var=ac_cv_prog_make_${ac_make}_set; eval "test \"\${$as_var+set}\" = set"; }; then :
-  $as_echo_n "(cached) " >&6
-else
-  cat >conftest.make <<\_ACEOF
-SHELL = /bin/sh
-all:
-	@echo '@@@%%%=$(MAKE)=@@@%%%'
-_ACEOF
-# GNU make sometimes prints "make[1]: Entering...", which would confuse us.
-case `${MAKE-make} -f conftest.make 2>/dev/null` in
-  *@@@%%%=?*=@@@%%%*)
-    eval ac_cv_prog_make_${ac_make}_set=yes;;
-  *)
-    eval ac_cv_prog_make_${ac_make}_set=no;;
-esac
-rm -f conftest.make
-fi
-if eval test \$ac_cv_prog_make_${ac_make}_set = yes; then
-  { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
-$as_echo "yes" >&6; }
-  SET_MAKE=
-else
-  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
-  SET_MAKE="MAKE=${MAKE-make}"
-fi
-
-rm -rf .tst 2>/dev/null
-mkdir .tst 2>/dev/null
-if test -d .tst; then
-  am__leading_dot=.
-else
-  am__leading_dot=_
-fi
-rmdir .tst 2>/dev/null
-
-if test "`cd $srcdir && pwd`" != "`pwd`"; then
-  # Use -I$(srcdir) only when $(srcdir) != ., so that make's output
-  # is not polluted with repeated "-I."
-  am__isrc=' -I$(srcdir)'
-  # test to see if srcdir already configured
-  if test -f $srcdir/config.status; then
-    as_fn_error "source directory already configured; run \"make distclean\" there first" "$LINENO" 5
-  fi
-fi
-
-# test whether we have cygpath
-if test -z "$CYGPATH_W"; then
-  if (cygpath --version) >/dev/null 2>/dev/null; then
-    CYGPATH_W='cygpath -w'
-  else
-    CYGPATH_W=echo
-  fi
-fi
-
-
-# Define the identity of the package.
- PACKAGE=gnucap
- VERSION=2009-12-07
-
-
-cat >>confdefs.h <<_ACEOF
-#define PACKAGE "$PACKAGE"
-_ACEOF
-
-
-cat >>confdefs.h <<_ACEOF
-#define VERSION "$VERSION"
-_ACEOF
-
-# Some tools Automake needs.
-
-ACLOCAL=${ACLOCAL-"${am_missing_run}aclocal-${am__api_version}"}
-
-
-AUTOCONF=${AUTOCONF-"${am_missing_run}autoconf"}
-
-
-AUTOMAKE=${AUTOMAKE-"${am_missing_run}automake-${am__api_version}"}
-
-
-AUTOHEADER=${AUTOHEADER-"${am_missing_run}autoheader"}
-
-
-MAKEINFO=${MAKEINFO-"${am_missing_run}makeinfo"}
-
-# We need awk for the "check" target.  The system "awk" is bad on
-# some platforms.
-# Always define AMTAR for backward compatibility.
-
-AMTAR=${AMTAR-"${am_missing_run}tar"}
-
-am__tar='${AMTAR} chof - "$$tardir"'; am__untar='${AMTAR} xf -'
-
-
-
-
-
-ac_config_headers="$ac_config_headers config.h"
-
-
-
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether to enable maintainer-specific portions of Makefiles" >&5
-$as_echo_n "checking whether to enable maintainer-specific portions of Makefiles... " >&6; }
-    # Check whether --enable-maintainer-mode was given.
-if test "${enable_maintainer_mode+set}" = set; then :
-  enableval=$enable_maintainer_mode; USE_MAINTAINER_MODE=$enableval
-else
-  USE_MAINTAINER_MODE=no
-fi
-
-  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $USE_MAINTAINER_MODE" >&5
-$as_echo "$USE_MAINTAINER_MODE" >&6; }
-   if test $USE_MAINTAINER_MODE = yes; then
-  MAINTAINER_MODE_TRUE=
-  MAINTAINER_MODE_FALSE='#'
-else
-  MAINTAINER_MODE_TRUE='#'
-  MAINTAINER_MODE_FALSE=
-fi
-
-  MAINT=$MAINTAINER_MODE_TRUE
-
-
-
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if debug code should be compiled in" >&5
-$as_echo_n "checking if debug code should be compiled in... " >&6; }
-# Check whether --enable-debug was given.
-if test "${enable_debug+set}" = set; then :
-  enableval=$enable_debug;
-if test "X$enable_debug" = "Xno" ; then
-	{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
-else
-	{ $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
-$as_echo "yes" >&6; }
-	enable_debug=yes
-fi
-
-else
-
-	{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
-	enable_debug=no
-
-fi
-
-
-# Checks for programs.
-ac_ext=cpp
-ac_cpp='$CXXCPP $CPPFLAGS'
-ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
-ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
-ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
-if test -z "$CXX"; then
-  if test -n "$CCC"; then
-    CXX=$CCC
-  else
-    if test -n "$ac_tool_prefix"; then
-  for ac_prog in g++ c++ gpp aCC CC cxx cc++ cl.exe FCC KCC RCC xlC_r xlC
-  do
-    # Extract the first word of "$ac_tool_prefix$ac_prog", so it can be a program name with args.
-set dummy $ac_tool_prefix$ac_prog; ac_word=$2
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
-$as_echo_n "checking for $ac_word... " >&6; }
-if test "${ac_cv_prog_CXX+set}" = set; then :
-  $as_echo_n "(cached) " >&6
-else
-  if test -n "$CXX"; then
-  ac_cv_prog_CXX="$CXX" # Let the user override the test.
-else
-as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
-  IFS=$as_save_IFS
-  test -z "$as_dir" && as_dir=.
-    for ac_exec_ext in '' $ac_executable_extensions; do
-  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
-    ac_cv_prog_CXX="$ac_tool_prefix$ac_prog"
-    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
-    break 2
-  fi
-done
-  done
-IFS=$as_save_IFS
-
-fi
-fi
-CXX=$ac_cv_prog_CXX
-if test -n "$CXX"; then
-  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $CXX" >&5
-$as_echo "$CXX" >&6; }
-else
-  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
-fi
-
-
-    test -n "$CXX" && break
-  done
-fi
-if test -z "$CXX"; then
-  ac_ct_CXX=$CXX
-  for ac_prog in g++ c++ gpp aCC CC cxx cc++ cl.exe FCC KCC RCC xlC_r xlC
-do
-  # Extract the first word of "$ac_prog", so it can be a program name with args.
-set dummy $ac_prog; ac_word=$2
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
-$as_echo_n "checking for $ac_word... " >&6; }
-if test "${ac_cv_prog_ac_ct_CXX+set}" = set; then :
-  $as_echo_n "(cached) " >&6
-else
-  if test -n "$ac_ct_CXX"; then
-  ac_cv_prog_ac_ct_CXX="$ac_ct_CXX" # Let the user override the test.
-else
-as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
-  IFS=$as_save_IFS
-  test -z "$as_dir" && as_dir=.
-    for ac_exec_ext in '' $ac_executable_extensions; do
-  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
-    ac_cv_prog_ac_ct_CXX="$ac_prog"
-    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
-    break 2
-  fi
-done
-  done
-IFS=$as_save_IFS
-
-fi
-fi
-ac_ct_CXX=$ac_cv_prog_ac_ct_CXX
-if test -n "$ac_ct_CXX"; then
-  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_CXX" >&5
-$as_echo "$ac_ct_CXX" >&6; }
-else
-  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
-fi
-
-
-  test -n "$ac_ct_CXX" && break
-done
-
-  if test "x$ac_ct_CXX" = x; then
-    CXX="g++"
-  else
-    case $cross_compiling:$ac_tool_warned in
-yes:)
-{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
-$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
-ac_tool_warned=yes ;;
-esac
-    CXX=$ac_ct_CXX
-  fi
-fi
-
-  fi
-fi
-# Provide some information about the compiler.
-$as_echo "$as_me:${as_lineno-$LINENO}: checking for C++ compiler version" >&5
-set X $ac_compile
-ac_compiler=$2
-for ac_option in --version -v -V -qversion; do
-  { { ac_try="$ac_compiler $ac_option >&5"
-case "(($ac_try" in
-  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
-  *) ac_try_echo=$ac_try;;
-esac
-eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
-$as_echo "$ac_try_echo"; } >&5
-  (eval "$ac_compiler $ac_option >&5") 2>conftest.err
-  ac_status=$?
-  if test -s conftest.err; then
-    sed '10a\
-... rest of stderr output deleted ...
-         10q' conftest.err >conftest.er1
-    cat conftest.er1 >&5
-  fi
-  rm -f conftest.er1 conftest.err
-  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
-  test $ac_status = 0; }
-done
-
-cat confdefs.h - <<_ACEOF >conftest.$ac_ext
-/* end confdefs.h.  */
-
-int
-main ()
-{
-
-  ;
-  return 0;
-}
-_ACEOF
-ac_clean_files_save=$ac_clean_files
-ac_clean_files="$ac_clean_files a.out a.out.dSYM a.exe b.out"
-# Try to create an executable without -o first, disregard a.out.
-# It will help us diagnose broken compilers, and finding out an intuition
-# of exeext.
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether the C++ compiler works" >&5
-$as_echo_n "checking whether the C++ compiler works... " >&6; }
-ac_link_default=`$as_echo "$ac_link" | sed 's/ -o *conftest[^ ]*//'`
-
-# The possible output files:
-ac_files="a.out conftest.exe conftest a.exe a_out.exe b.out conftest.*"
-
-ac_rmfiles=
-for ac_file in $ac_files
-do
-  case $ac_file in
-    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj ) ;;
-    * ) ac_rmfiles="$ac_rmfiles $ac_file";;
-  esac
-done
-rm -f $ac_rmfiles
-
-if { { ac_try="$ac_link_default"
-case "(($ac_try" in
-  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
-  *) ac_try_echo=$ac_try;;
-esac
-eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
-$as_echo "$ac_try_echo"; } >&5
-  (eval "$ac_link_default") 2>&5
-  ac_status=$?
-  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
-  test $ac_status = 0; }; then :
-  # Autoconf-2.13 could set the ac_cv_exeext variable to `no'.
-# So ignore a value of `no', otherwise this would lead to `EXEEXT = no'
-# in a Makefile.  We should not override ac_cv_exeext if it was cached,
-# so that the user can short-circuit this test for compilers unknown to
-# Autoconf.
-for ac_file in $ac_files ''
-do
-  test -f "$ac_file" || continue
-  case $ac_file in
-    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj )
-	;;
-    [ab].out )
-	# We found the default executable, but exeext='' is most
-	# certainly right.
-	break;;
-    *.* )
-	if test "${ac_cv_exeext+set}" = set && test "$ac_cv_exeext" != no;
-	then :; else
-	   ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
-	fi
-	# We set ac_cv_exeext here because the later test for it is not
-	# safe: cross compilers may not add the suffix if given an `-o'
-	# argument, so we may need to know it at that point already.
-	# Even if this section looks crufty: it has the advantage of
-	# actually working.
-	break;;
-    * )
-	break;;
-  esac
-done
-test "$ac_cv_exeext" = no && ac_cv_exeext=
-
-else
-  ac_file=''
-fi
-if test -z "$ac_file"; then :
-  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
-$as_echo "$as_me: failed program was:" >&5
-sed 's/^/| /' conftest.$ac_ext >&5
-
-{ { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
-$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
-{ as_fn_set_status 77
-as_fn_error "C++ compiler cannot create executables
-See \`config.log' for more details." "$LINENO" 5; }; }
-else
-  { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
-$as_echo "yes" >&6; }
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for C++ compiler default output file name" >&5
-$as_echo_n "checking for C++ compiler default output file name... " >&6; }
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_file" >&5
-$as_echo "$ac_file" >&6; }
-ac_exeext=$ac_cv_exeext
-
-rm -f -r a.out a.out.dSYM a.exe conftest$ac_cv_exeext b.out
-ac_clean_files=$ac_clean_files_save
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for suffix of executables" >&5
-$as_echo_n "checking for suffix of executables... " >&6; }
-if { { ac_try="$ac_link"
-case "(($ac_try" in
-  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
-  *) ac_try_echo=$ac_try;;
-esac
-eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
-$as_echo "$ac_try_echo"; } >&5
-  (eval "$ac_link") 2>&5
-  ac_status=$?
-  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
-  test $ac_status = 0; }; then :
-  # If both `conftest.exe' and `conftest' are `present' (well, observable)
-# catch `conftest.exe'.  For instance with Cygwin, `ls conftest' will
-# work properly (i.e., refer to `conftest.exe'), while it won't with
-# `rm'.
-for ac_file in conftest.exe conftest conftest.*; do
-  test -f "$ac_file" || continue
-  case $ac_file in
-    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj ) ;;
-    *.* ) ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
-	  break;;
-    * ) break;;
-  esac
-done
-else
-  { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
-$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
-as_fn_error "cannot compute suffix of executables: cannot compile and link
-See \`config.log' for more details." "$LINENO" 5; }
-fi
-rm -f conftest conftest$ac_cv_exeext
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_exeext" >&5
-$as_echo "$ac_cv_exeext" >&6; }
-
-rm -f conftest.$ac_ext
-EXEEXT=$ac_cv_exeext
-ac_exeext=$EXEEXT
-cat confdefs.h - <<_ACEOF >conftest.$ac_ext
-/* end confdefs.h.  */
-#include <stdio.h>
-int
-main ()
-{
-FILE *f = fopen ("conftest.out", "w");
- return ferror (f) || fclose (f) != 0;
-
-  ;
-  return 0;
-}
-_ACEOF
-ac_clean_files="$ac_clean_files conftest.out"
-# Check that the compiler produces executables we can run.  If not, either
-# the compiler is broken, or we cross compile.
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether we are cross compiling" >&5
-$as_echo_n "checking whether we are cross compiling... " >&6; }
-if test "$cross_compiling" != yes; then
-  { { ac_try="$ac_link"
-case "(($ac_try" in
-  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
-  *) ac_try_echo=$ac_try;;
-esac
-eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
-$as_echo "$ac_try_echo"; } >&5
-  (eval "$ac_link") 2>&5
-  ac_status=$?
-  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
-  test $ac_status = 0; }
-  if { ac_try='./conftest$ac_cv_exeext'
-  { { case "(($ac_try" in
-  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
-  *) ac_try_echo=$ac_try;;
-esac
-eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
-$as_echo "$ac_try_echo"; } >&5
-  (eval "$ac_try") 2>&5
-  ac_status=$?
-  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
-  test $ac_status = 0; }; }; then
-    cross_compiling=no
-  else
-    if test "$cross_compiling" = maybe; then
-	cross_compiling=yes
-    else
-	{ { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
-$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
-as_fn_error "cannot run C++ compiled programs.
-If you meant to cross compile, use \`--host'.
-See \`config.log' for more details." "$LINENO" 5; }
-    fi
-  fi
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $cross_compiling" >&5
-$as_echo "$cross_compiling" >&6; }
-
-rm -f conftest.$ac_ext conftest$ac_cv_exeext conftest.out
-ac_clean_files=$ac_clean_files_save
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for suffix of object files" >&5
-$as_echo_n "checking for suffix of object files... " >&6; }
-if test "${ac_cv_objext+set}" = set; then :
-  $as_echo_n "(cached) " >&6
-else
-  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
-/* end confdefs.h.  */
-
-int
-main ()
-{
-
-  ;
-  return 0;
-}
-_ACEOF
-rm -f conftest.o conftest.obj
-if { { ac_try="$ac_compile"
-case "(($ac_try" in
-  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
-  *) ac_try_echo=$ac_try;;
-esac
-eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
-$as_echo "$ac_try_echo"; } >&5
-  (eval "$ac_compile") 2>&5
-  ac_status=$?
-  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
-  test $ac_status = 0; }; then :
-  for ac_file in conftest.o conftest.obj conftest.*; do
-  test -f "$ac_file" || continue;
-  case $ac_file in
-    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM ) ;;
-    *) ac_cv_objext=`expr "$ac_file" : '.*\.\(.*\)'`
-       break;;
-  esac
-done
-else
-  $as_echo "$as_me: failed program was:" >&5
-sed 's/^/| /' conftest.$ac_ext >&5
-
-{ { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
-$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
-as_fn_error "cannot compute suffix of object files: cannot compile
-See \`config.log' for more details." "$LINENO" 5; }
-fi
-rm -f conftest.$ac_cv_objext conftest.$ac_ext
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_objext" >&5
-$as_echo "$ac_cv_objext" >&6; }
-OBJEXT=$ac_cv_objext
-ac_objext=$OBJEXT
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether we are using the GNU C++ compiler" >&5
-$as_echo_n "checking whether we are using the GNU C++ compiler... " >&6; }
-if test "${ac_cv_cxx_compiler_gnu+set}" = set; then :
-  $as_echo_n "(cached) " >&6
-else
-  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
-/* end confdefs.h.  */
-
-int
-main ()
-{
-#ifndef __GNUC__
-       choke me
-#endif
-
-  ;
-  return 0;
-}
-_ACEOF
-if ac_fn_cxx_try_compile "$LINENO"; then :
-  ac_compiler_gnu=yes
-else
-  ac_compiler_gnu=no
-fi
-rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
-ac_cv_cxx_compiler_gnu=$ac_compiler_gnu
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_cxx_compiler_gnu" >&5
-$as_echo "$ac_cv_cxx_compiler_gnu" >&6; }
-if test $ac_compiler_gnu = yes; then
-  GXX=yes
-else
-  GXX=
-fi
-ac_test_CXXFLAGS=${CXXFLAGS+set}
-ac_save_CXXFLAGS=$CXXFLAGS
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether $CXX accepts -g" >&5
-$as_echo_n "checking whether $CXX accepts -g... " >&6; }
-if test "${ac_cv_prog_cxx_g+set}" = set; then :
-  $as_echo_n "(cached) " >&6
-else
-  ac_save_cxx_werror_flag=$ac_cxx_werror_flag
-   ac_cxx_werror_flag=yes
-   ac_cv_prog_cxx_g=no
-   CXXFLAGS="-g"
-   cat confdefs.h - <<_ACEOF >conftest.$ac_ext
-/* end confdefs.h.  */
-
-int
-main ()
-{
-
-  ;
-  return 0;
-}
-_ACEOF
-if ac_fn_cxx_try_compile "$LINENO"; then :
-  ac_cv_prog_cxx_g=yes
-else
-  CXXFLAGS=""
-      cat confdefs.h - <<_ACEOF >conftest.$ac_ext
-/* end confdefs.h.  */
-
-int
-main ()
-{
-
-  ;
-  return 0;
-}
-_ACEOF
-if ac_fn_cxx_try_compile "$LINENO"; then :
-
-else
-  ac_cxx_werror_flag=$ac_save_cxx_werror_flag
-	 CXXFLAGS="-g"
-	 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
-/* end confdefs.h.  */
-
-int
-main ()
-{
-
-  ;
-  return 0;
-}
-_ACEOF
-if ac_fn_cxx_try_compile "$LINENO"; then :
-  ac_cv_prog_cxx_g=yes
-fi
-rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
-fi
-rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
-fi
-rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
-   ac_cxx_werror_flag=$ac_save_cxx_werror_flag
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_prog_cxx_g" >&5
-$as_echo "$ac_cv_prog_cxx_g" >&6; }
-if test "$ac_test_CXXFLAGS" = set; then
-  CXXFLAGS=$ac_save_CXXFLAGS
-elif test $ac_cv_prog_cxx_g = yes; then
-  if test "$GXX" = yes; then
-    CXXFLAGS="-g -O2"
-  else
-    CXXFLAGS="-g"
-  fi
-else
-  if test "$GXX" = yes; then
-    CXXFLAGS="-O2"
-  else
-    CXXFLAGS=
-  fi
-fi
-ac_ext=c
-ac_cpp='$CPP $CPPFLAGS'
-ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
-ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
-ac_compiler_gnu=$ac_cv_c_compiler_gnu
-DEPDIR="${am__leading_dot}deps"
-
-ac_config_commands="$ac_config_commands depfiles"
-
-
-am_make=${MAKE-make}
-cat > confinc << 'END'
-am__doit:
-	@echo this is the am__doit target
-.PHONY: am__doit
-END
-# If we don't find an include directive, just comment out the code.
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for style of include used by $am_make" >&5
-$as_echo_n "checking for style of include used by $am_make... " >&6; }
-am__include="#"
-am__quote=
-_am_result=none
-# First try GNU make style include.
-echo "include confinc" > confmf
-# Ignore all kinds of additional output from `make'.
-case `$am_make -s -f confmf 2> /dev/null` in #(
-*the\ am__doit\ target*)
-  am__include=include
-  am__quote=
-  _am_result=GNU
-  ;;
-esac
-# Now try BSD make style include.
-if test "$am__include" = "#"; then
-   echo '.include "confinc"' > confmf
-   case `$am_make -s -f confmf 2> /dev/null` in #(
-   *the\ am__doit\ target*)
-     am__include=.include
-     am__quote="\""
-     _am_result=BSD
-     ;;
-   esac
-fi
-
-
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $_am_result" >&5
-$as_echo "$_am_result" >&6; }
-rm -f confinc confmf
-
-# Check whether --enable-dependency-tracking was given.
-if test "${enable_dependency_tracking+set}" = set; then :
-  enableval=$enable_dependency_tracking;
-fi
-
-if test "x$enable_dependency_tracking" != xno; then
-  am_depcomp="$ac_aux_dir/depcomp"
-  AMDEPBACKSLASH='\'
-fi
- if test "x$enable_dependency_tracking" != xno; then
-  AMDEP_TRUE=
-  AMDEP_FALSE='#'
-else
-  AMDEP_TRUE='#'
-  AMDEP_FALSE=
-fi
-
-
-
-depcc="$CXX"  am_compiler_list=
-
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking dependency style of $depcc" >&5
-$as_echo_n "checking dependency style of $depcc... " >&6; }
-if test "${am_cv_CXX_dependencies_compiler_type+set}" = set; then :
-  $as_echo_n "(cached) " >&6
-else
-  if test -z "$AMDEP_TRUE" && test -f "$am_depcomp"; then
-  # We make a subdir and do the tests there.  Otherwise we can end up
-  # making bogus files that we don't know about and never remove.  For
-  # instance it was reported that on HP-UX the gcc test will end up
-  # making a dummy file named `D' -- because `-MD' means `put the output
-  # in D'.
-  mkdir conftest.dir
-  # Copy depcomp to subdir because otherwise we won't find it if we're
-  # using a relative directory.
-  cp "$am_depcomp" conftest.dir
-  cd conftest.dir
-  # We will build objects and dependencies in a subdirectory because
-  # it helps to detect inapplicable dependency modes.  For instance
-  # both Tru64's cc and ICC support -MD to output dependencies as a
-  # side effect of compilation, but ICC will put the dependencies in
-  # the current directory while Tru64 will put them in the object
-  # directory.
-  mkdir sub
-
-  am_cv_CXX_dependencies_compiler_type=none
-  if test "$am_compiler_list" = ""; then
-     am_compiler_list=`sed -n 's/^#*\([a-zA-Z0-9]*\))$/\1/p' < ./depcomp`
-  fi
-  am__universal=false
-  case " $depcc " in #(
-     *\ -arch\ *\ -arch\ *) am__universal=true ;;
-     esac
-
-  for depmode in $am_compiler_list; do
-    # Setup a source with many dependencies, because some compilers
-    # like to wrap large dependency lists on column 80 (with \), and
-    # we should not choose a depcomp mode which is confused by this.
-    #
-    # We need to recreate these files for each test, as the compiler may
-    # overwrite some of them when testing with obscure command lines.
-    # This happens at least with the AIX C compiler.
-    : > sub/conftest.c
-    for i in 1 2 3 4 5 6; do
-      echo '#include "conftst'$i'.h"' >> sub/conftest.c
-      # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with
-      # Solaris 8's {/usr,}/bin/sh.
-      touch sub/conftst$i.h
-    done
-    echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf
-
-    # We check with `-c' and `-o' for the sake of the "dashmstdout"
-    # mode.  It turns out that the SunPro C++ compiler does not properly
-    # handle `-M -o', and we need to detect this.  Also, some Intel
-    # versions had trouble with output in subdirs
-    am__obj=sub/conftest.${OBJEXT-o}
-    am__minus_obj="-o $am__obj"
-    case $depmode in
-    gcc)
-      # This depmode causes a compiler race in universal mode.
-      test "$am__universal" = false || continue
-      ;;
-    nosideeffect)
-      # after this tag, mechanisms are not by side-effect, so they'll
-      # only be used when explicitly requested
-      if test "x$enable_dependency_tracking" = xyes; then
-	continue
-      else
-	break
-      fi
-      ;;
-    msvisualcpp | msvcmsys)
-      # This compiler won't grok `-c -o', but also, the minuso test has
-      # not run yet.  These depmodes are late enough in the game, and
-      # so weak that their functioning should not be impacted.
-      am__obj=conftest.${OBJEXT-o}
-      am__minus_obj=
-      ;;
-    none) break ;;
-    esac
-    if depmode=$depmode \
-       source=sub/conftest.c object=$am__obj \
-       depfile=sub/conftest.Po tmpdepfile=sub/conftest.TPo \
-       $SHELL ./depcomp $depcc -c $am__minus_obj sub/conftest.c \
-         >/dev/null 2>conftest.err &&
-       grep sub/conftst1.h sub/conftest.Po > /dev/null 2>&1 &&
-       grep sub/conftst6.h sub/conftest.Po > /dev/null 2>&1 &&
-       grep $am__obj sub/conftest.Po > /dev/null 2>&1 &&
-       ${MAKE-make} -s -f confmf > /dev/null 2>&1; then
-      # icc doesn't choke on unknown options, it will just issue warnings
-      # or remarks (even with -Werror).  So we grep stderr for any message
-      # that says an option was ignored or not supported.
-      # When given -MP, icc 7.0 and 7.1 complain thusly:
-      #   icc: Command line warning: ignoring option '-M'; no argument required
-      # The diagnosis changed in icc 8.0:
-      #   icc: Command line remark: option '-MP' not supported
-      if (grep 'ignoring option' conftest.err ||
-          grep 'not supported' conftest.err) >/dev/null 2>&1; then :; else
-        am_cv_CXX_dependencies_compiler_type=$depmode
-        break
-      fi
-    fi
-  done
-
-  cd ..
-  rm -rf conftest.dir
-else
-  am_cv_CXX_dependencies_compiler_type=none
-fi
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $am_cv_CXX_dependencies_compiler_type" >&5
-$as_echo "$am_cv_CXX_dependencies_compiler_type" >&6; }
-CXXDEPMODE=depmode=$am_cv_CXX_dependencies_compiler_type
-
- if
-  test "x$enable_dependency_tracking" != xno \
-  && test "$am_cv_CXX_dependencies_compiler_type" = gcc3; then
-  am__fastdepCXX_TRUE=
-  am__fastdepCXX_FALSE='#'
-else
-  am__fastdepCXX_TRUE='#'
-  am__fastdepCXX_FALSE=
-fi
-
-
-
-# If we are cross compiling, then we need to search for a
-# gnucap-modelgen program to use for our build.  This can
-# either be an installed modelgen or it can be specified
-# like:
-#   env MODELGEN=/build/i686--linux/modelgen/gnucap-modelgen /srcs/gnucap/configure
-#           --host=alpha--netbsd --build=i686--linux
-#
-if test "$cross_compiling" = yes; then
-	# Extract the first word of "gnucap-modelgen", so it can be a program name with args.
-set dummy gnucap-modelgen; ac_word=$2
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
-$as_echo_n "checking for $ac_word... " >&6; }
-if test "${ac_cv_path_MODELGEN+set}" = set; then :
-  $as_echo_n "(cached) " >&6
-else
-  case $MODELGEN in
-  [\\/]* | ?:[\\/]*)
-  ac_cv_path_MODELGEN="$MODELGEN" # Let the user override the test with a path.
-  ;;
-  *)
-  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
-  IFS=$as_save_IFS
-  test -z "$as_dir" && as_dir=.
-    for ac_exec_ext in '' $ac_executable_extensions; do
-  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
-    ac_cv_path_MODELGEN="$as_dir/$ac_word$ac_exec_ext"
-    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
-    break 2
-  fi
-done
-  done
-IFS=$as_save_IFS
-
-  ;;
-esac
-fi
-MODELGEN=$ac_cv_path_MODELGEN
-if test -n "$MODELGEN"; then
-  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $MODELGEN" >&5
-$as_echo "$MODELGEN" >&6; }
-else
-  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
-fi
-
-
-else
-	MODELGEN=../modelgen/gnucap-modelgen
-
-fi
-
-# for building the documentation
-#AC_PATH_PROG(DVIPDFM, dvipdfm, notfound)
-#AM_CONDITIONAL(MISSING_DVIPDFM, test x$DVIPDFM = xnotfound)
-#AC_PATH_PROG(HACHA, hacha, notfound)
-#AC_PATH_PROG(HEVEA, hevea, notfound)
-#AM_CONDITIONAL(MISSING_HEVEA, test x$HEVEA = xnotfound -o x$HACHA = xnotfound)
-#AC_PATH_PROG(LATEX, latex, notfound)
-#AC_PATH_PROG(MAKEINDEX, makeindex, notfound)
-#AM_CONDITIONAL(MISSING_LATEX, test x$LATEX = xnotfound -o x$MAKEINDEX = xnotfound)
-
-# Checks for libraries.
-
-# this is a c++ program so use c++ for the tests
-ac_ext=cpp
-ac_cpp='$CXXCPP $CPPFLAGS'
-ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
-ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
-ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
-
-
-#AC_CHECK_LIB([termcap], [main])
-#AC_CHECK_LIB([readline], [main])
-
-# Check whether --with-readline was given.
-if test "${with_readline+set}" = set; then :
-  withval=$with_readline;
-else
-  with_readline=yes
-fi
-
-
-if test "x$with_readline" != xno ; then
-
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for main in -ltermcap" >&5
-$as_echo_n "checking for main in -ltermcap... " >&6; }
-if test "${ac_cv_lib_termcap_main+set}" = set; then :
-  $as_echo_n "(cached) " >&6
-else
-  ac_check_lib_save_LIBS=$LIBS
-LIBS="-ltermcap  $LIBS"
-cat confdefs.h - <<_ACEOF >conftest.$ac_ext
-/* end confdefs.h.  */
-
-
-int
-main ()
-{
-return main ();
-  ;
-  return 0;
-}
-_ACEOF
-if ac_fn_cxx_try_link "$LINENO"; then :
-  ac_cv_lib_termcap_main=yes
-else
-  ac_cv_lib_termcap_main=no
-fi
-rm -f core conftest.err conftest.$ac_objext \
-    conftest$ac_exeext conftest.$ac_ext
-LIBS=$ac_check_lib_save_LIBS
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_termcap_main" >&5
-$as_echo "$ac_cv_lib_termcap_main" >&6; }
-if test "x$ac_cv_lib_termcap_main" = x""yes; then :
-  cat >>confdefs.h <<_ACEOF
-#define HAVE_LIBTERMCAP 1
-_ACEOF
-
-  LIBS="-ltermcap $LIBS"
-
-fi
-
-	{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for main in -lreadline" >&5
-$as_echo_n "checking for main in -lreadline... " >&6; }
-if test "${ac_cv_lib_readline_main+set}" = set; then :
-  $as_echo_n "(cached) " >&6
-else
-  ac_check_lib_save_LIBS=$LIBS
-LIBS="-lreadline  $LIBS"
-cat confdefs.h - <<_ACEOF >conftest.$ac_ext
-/* end confdefs.h.  */
-
-
-int
-main ()
-{
-return main ();
-  ;
-  return 0;
-}
-_ACEOF
-if ac_fn_cxx_try_link "$LINENO"; then :
-  ac_cv_lib_readline_main=yes
-else
-  ac_cv_lib_readline_main=no
-fi
-rm -f core conftest.err conftest.$ac_objext \
-    conftest$ac_exeext conftest.$ac_ext
-LIBS=$ac_check_lib_save_LIBS
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_readline_main" >&5
-$as_echo "$ac_cv_lib_readline_main" >&6; }
-if test "x$ac_cv_lib_readline_main" = x""yes; then :
-  cat >>confdefs.h <<_ACEOF
-#define HAVE_LIBREADLINE 1
-_ACEOF
-
-  LIBS="-lreadline $LIBS"
-
-fi
-
-fi
-
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for dlopen in -ldl" >&5
-$as_echo_n "checking for dlopen in -ldl... " >&6; }
-if test "${ac_cv_lib_dl_dlopen+set}" = set; then :
-  $as_echo_n "(cached) " >&6
-else
-  ac_check_lib_save_LIBS=$LIBS
-LIBS="-ldl  $LIBS"
-cat confdefs.h - <<_ACEOF >conftest.$ac_ext
-/* end confdefs.h.  */
-
-/* Override any GCC internal prototype to avoid an error.
-   Use char because int might match the return type of a GCC
-   builtin and then its argument prototype would still apply.  */
-#ifdef __cplusplus
-extern "C"
-#endif
-char dlopen ();
-int
-main ()
-{
-return dlopen ();
-  ;
-  return 0;
-}
-_ACEOF
-if ac_fn_cxx_try_link "$LINENO"; then :
-  ac_cv_lib_dl_dlopen=yes
-else
-  ac_cv_lib_dl_dlopen=no
-fi
-rm -f core conftest.err conftest.$ac_objext \
-    conftest$ac_exeext conftest.$ac_ext
-LIBS=$ac_check_lib_save_LIBS
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_dl_dlopen" >&5
-$as_echo "$ac_cv_lib_dl_dlopen" >&6; }
-if test "x$ac_cv_lib_dl_dlopen" = x""yes; then :
-  cat >>confdefs.h <<_ACEOF
-#define HAVE_LIBDL 1
-_ACEOF
-
-  LIBS="-ldl $LIBS"
-
-fi
-
-
-# Checks for header files.
-#AC_CHECK_HEADERS([fcntl.h unistd.h])
-
-# Checks for typedefs, structures, and compiler characteristics.
-
-# Checks for library functions.
-
-if test "$enable_debug" = "yes" ; then
-	CPPFLAGS="$CPPFLAGS -DTRACE_UNTESTED"
-else
-	CPPFLAGS="$CPPFLAGS -DNDEBUG"
-fi
-# if we have gcc and we've asked for debugging then add lots of -W
-if test "x$GCC" = "xyes" -a "$enable_debug" = "yes"; then
-	for flag in -DTRACE_UNTESTED -Wall -W -Wno-sign-compare \
-		-Wpointer-arith -Wcast-qual \
-		-Wwrite-strings -Wconversion \
-		-Woverloaded-virtual -O2 -Wlong-long \
-		-Wsign-compare -Wcast-align ; do
-		case " ${CFLAGS} " in
-			*\ ${flag}\ *)
-				# flag is already present
-				;;
-			*)
-				CFLAGS="$CFLAGS ${flag}"
-				;;
-		esac
-		case " ${CXXFLAGS} " in
-			*\ ${flag}\ *)
-				# flag is already present
-				;;
-			*)
-				CXXFLAGS="$CXXFLAGS ${flag}"
-				;;
-		esac
-	done
-fi
-
-# exports symbols to plugins
-LDFLAGS="$LDFLAGS -rdynamic"
-
-#AC_OUTPUT([
-#	Makefile
-#	doc/Makefile
-#	examples/Makefile
-#	man/Makefile
-#	man/Addmodel/Makefile
-#	man/Behavior/Makefile
-#	man/Circuit/Makefile
-#	man/Commands/Makefile
-#	man/Tech/Makefile
-#	modelgen/Makefile
-#	src/Makefile
-#	])
-
-ac_config_files="$ac_config_files Makefile doc/Makefile examples/Makefile modelgen/Makefile src/Makefile"
-
-cat >confcache <<\_ACEOF
-# This file is a shell script that caches the results of configure
-# tests run on this system so they can be shared between configure
-# scripts and configure runs, see configure's option --config-cache.
-# It is not useful on other systems.  If it contains results you don't
-# want to keep, you may remove or edit it.
-#
-# config.status only pays attention to the cache file if you give it
-# the --recheck option to rerun configure.
-#
-# `ac_cv_env_foo' variables (set or unset) will be overridden when
-# loading this file, other *unset* `ac_cv_foo' will be assigned the
-# following values.
-
-_ACEOF
-
-# The following way of writing the cache mishandles newlines in values,
-# but we know of no workaround that is simple, portable, and efficient.
-# So, we kill variables containing newlines.
-# Ultrix sh set writes to stderr and can't be redirected directly,
-# and sets the high bit in the cache file unless we assign to the vars.
-(
-  for ac_var in `(set) 2>&1 | sed -n 's/^\([a-zA-Z_][a-zA-Z0-9_]*\)=.*/\1/p'`; do
-    eval ac_val=\$$ac_var
-    case $ac_val in #(
-    *${as_nl}*)
-      case $ac_var in #(
-      *_cv_*) { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: cache variable $ac_var contains a newline" >&5
-$as_echo "$as_me: WARNING: cache variable $ac_var contains a newline" >&2;} ;;
-      esac
-      case $ac_var in #(
-      _ | IFS | as_nl) ;; #(
-      BASH_ARGV | BASH_SOURCE) eval $ac_var= ;; #(
-      *) { eval $ac_var=; unset $ac_var;} ;;
-      esac ;;
-    esac
-  done
-
-  (set) 2>&1 |
-    case $as_nl`(ac_space=' '; set) 2>&1` in #(
-    *${as_nl}ac_space=\ *)
-      # `set' does not quote correctly, so add quotes: double-quote
-      # substitution turns \\\\ into \\, and sed turns \\ into \.
-      sed -n \
-	"s/'/'\\\\''/g;
-	  s/^\\([_$as_cr_alnum]*_cv_[_$as_cr_alnum]*\\)=\\(.*\\)/\\1='\\2'/p"
-      ;; #(
-    *)
-      # `set' quotes correctly as required by POSIX, so do not add quotes.
-      sed -n "/^[_$as_cr_alnum]*_cv_[_$as_cr_alnum]*=/p"
-      ;;
-    esac |
-    sort
-) |
-  sed '
-     /^ac_cv_env_/b end
-     t clear
-     :clear
-     s/^\([^=]*\)=\(.*[{}].*\)$/test "${\1+set}" = set || &/
-     t end
-     s/^\([^=]*\)=\(.*\)$/\1=${\1=\2}/
-     :end' >>confcache
-if diff "$cache_file" confcache >/dev/null 2>&1; then :; else
-  if test -w "$cache_file"; then
-    test "x$cache_file" != "x/dev/null" &&
-      { $as_echo "$as_me:${as_lineno-$LINENO}: updating cache $cache_file" >&5
-$as_echo "$as_me: updating cache $cache_file" >&6;}
-    cat confcache >$cache_file
-  else
-    { $as_echo "$as_me:${as_lineno-$LINENO}: not updating unwritable cache $cache_file" >&5
-$as_echo "$as_me: not updating unwritable cache $cache_file" >&6;}
-  fi
-fi
-rm -f confcache
-
-test "x$prefix" = xNONE && prefix=$ac_default_prefix
-# Let make expand exec_prefix.
-test "x$exec_prefix" = xNONE && exec_prefix='${prefix}'
-
-DEFS=-DHAVE_CONFIG_H
-
-ac_libobjs=
-ac_ltlibobjs=
-for ac_i in : $LIBOBJS; do test "x$ac_i" = x: && continue
-  # 1. Remove the extension, and $U if already installed.
-  ac_script='s/\$U\././;s/\.o$//;s/\.obj$//'
-  ac_i=`$as_echo "$ac_i" | sed "$ac_script"`
-  # 2. Prepend LIBOBJDIR.  When used with automake>=1.10 LIBOBJDIR
-  #    will be set to the directory where LIBOBJS objects are built.
-  as_fn_append ac_libobjs " \${LIBOBJDIR}$ac_i\$U.$ac_objext"
-  as_fn_append ac_ltlibobjs " \${LIBOBJDIR}$ac_i"'$U.lo'
-done
-LIBOBJS=$ac_libobjs
-
-LTLIBOBJS=$ac_ltlibobjs
-
-
- if test -n "$EXEEXT"; then
-  am__EXEEXT_TRUE=
-  am__EXEEXT_FALSE='#'
-else
-  am__EXEEXT_TRUE='#'
-  am__EXEEXT_FALSE=
-fi
-
-if test -z "${MAINTAINER_MODE_TRUE}" && test -z "${MAINTAINER_MODE_FALSE}"; then
-  as_fn_error "conditional \"MAINTAINER_MODE\" was never defined.
-Usually this means the macro was only invoked conditionally." "$LINENO" 5
-fi
-if test -z "${AMDEP_TRUE}" && test -z "${AMDEP_FALSE}"; then
-  as_fn_error "conditional \"AMDEP\" was never defined.
-Usually this means the macro was only invoked conditionally." "$LINENO" 5
-fi
-if test -z "${am__fastdepCXX_TRUE}" && test -z "${am__fastdepCXX_FALSE}"; then
-  as_fn_error "conditional \"am__fastdepCXX\" was never defined.
-Usually this means the macro was only invoked conditionally." "$LINENO" 5
-fi
-
-: ${CONFIG_STATUS=./config.status}
-ac_write_fail=0
-ac_clean_files_save=$ac_clean_files
-ac_clean_files="$ac_clean_files $CONFIG_STATUS"
-{ $as_echo "$as_me:${as_lineno-$LINENO}: creating $CONFIG_STATUS" >&5
-$as_echo "$as_me: creating $CONFIG_STATUS" >&6;}
-as_write_fail=0
-cat >$CONFIG_STATUS <<_ASEOF || as_write_fail=1
-#! $SHELL
-# Generated by $as_me.
-# Run this file to recreate the current configuration.
-# Compiler output produced by configure, useful for debugging
-# configure, is in config.log if it exists.
-
-debug=false
-ac_cs_recheck=false
-ac_cs_silent=false
-
-SHELL=\${CONFIG_SHELL-$SHELL}
-export SHELL
-_ASEOF
-cat >>$CONFIG_STATUS <<\_ASEOF || as_write_fail=1
-## -------------------- ##
-## M4sh Initialization. ##
-## -------------------- ##
-
-# Be more Bourne compatible
-DUALCASE=1; export DUALCASE # for MKS sh
-if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then :
-  emulate sh
-  NULLCMD=:
-  # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
-  # is contrary to our usage.  Disable this feature.
-  alias -g '${1+"$@"}'='"$@"'
-  setopt NO_GLOB_SUBST
-else
-  case `(set -o) 2>/dev/null` in #(
-  *posix*) :
-    set -o posix ;; #(
-  *) :
-     ;;
-esac
-fi
-
-
-as_nl='
-'
-export as_nl
-# Printing a long string crashes Solaris 7 /usr/bin/printf.
-as_echo='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
-as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo
-as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo$as_echo
-# Prefer a ksh shell builtin over an external printf program on Solaris,
-# but without wasting forks for bash or zsh.
-if test -z "$BASH_VERSION$ZSH_VERSION" \
-    && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
-  as_echo='print -r --'
-  as_echo_n='print -rn --'
-elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
-  as_echo='printf %s\n'
-  as_echo_n='printf %s'
-else
-  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
-    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
-    as_echo_n='/usr/ucb/echo -n'
-  else
-    as_echo_body='eval expr "X$1" : "X\\(.*\\)"'
-    as_echo_n_body='eval
-      arg=$1;
-      case $arg in #(
-      *"$as_nl"*)
-	expr "X$arg" : "X\\(.*\\)$as_nl";
-	arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
-      esac;
-      expr "X$arg" : "X\\(.*\\)" | tr -d "$as_nl"
-    '
-    export as_echo_n_body
-    as_echo_n='sh -c $as_echo_n_body as_echo'
-  fi
-  export as_echo_body
-  as_echo='sh -c $as_echo_body as_echo'
-fi
-
-# The user is always right.
-if test "${PATH_SEPARATOR+set}" != set; then
-  PATH_SEPARATOR=:
-  (PATH='/bin;/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 && {
-    (PATH='/bin:/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 ||
-      PATH_SEPARATOR=';'
-  }
-fi
-
-
-# IFS
-# We need space, tab and new line, in precisely that order.  Quoting is
-# there to prevent editors from complaining about space-tab.
-# (If _AS_PATH_WALK were called with IFS unset, it would disable word
-# splitting by setting IFS to empty value.)
-IFS=" ""	$as_nl"
-
-# Find who we are.  Look in the path if we contain no directory separator.
-case $0 in #((
-  *[\\/]* ) as_myself=$0 ;;
-  *) as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
-  IFS=$as_save_IFS
-  test -z "$as_dir" && as_dir=.
-    test -r "$as_dir/$0" && as_myself=$as_dir/$0 && break
-  done
-IFS=$as_save_IFS
-
-     ;;
-esac
-# We did not find ourselves, most probably we were run as `sh COMMAND'
-# in which case we are not to be found in the path.
-if test "x$as_myself" = x; then
-  as_myself=$0
-fi
-if test ! -f "$as_myself"; then
-  $as_echo "$as_myself: error: cannot find myself; rerun with an absolute file name" >&2
-  exit 1
-fi
-
-# Unset variables that we do not need and which cause bugs (e.g. in
-# pre-3.0 UWIN ksh).  But do not cause bugs in bash 2.01; the "|| exit 1"
-# suppresses any "Segmentation fault" message there.  '((' could
-# trigger a bug in pdksh 5.2.14.
-for as_var in BASH_ENV ENV MAIL MAILPATH
-do eval test x\${$as_var+set} = xset \
-  && ( (unset $as_var) || exit 1) >/dev/null 2>&1 && unset $as_var || :
-done
-PS1='$ '
-PS2='> '
-PS4='+ '
-
-# NLS nuisances.
-LC_ALL=C
-export LC_ALL
-LANGUAGE=C
-export LANGUAGE
-
-# CDPATH.
-(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
-
-
-# as_fn_error ERROR [LINENO LOG_FD]
-# ---------------------------------
-# Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
-# provided, also output the error to LOG_FD, referencing LINENO. Then exit the
-# script with status $?, using 1 if that was 0.
-as_fn_error ()
-{
-  as_status=$?; test $as_status -eq 0 && as_status=1
-  if test "$3"; then
-    as_lineno=${as_lineno-"$2"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
-    $as_echo "$as_me:${as_lineno-$LINENO}: error: $1" >&$3
-  fi
-  $as_echo "$as_me: error: $1" >&2
-  as_fn_exit $as_status
-} # as_fn_error
-
-
-# as_fn_set_status STATUS
-# -----------------------
-# Set $? to STATUS, without forking.
-as_fn_set_status ()
-{
-  return $1
-} # as_fn_set_status
-
-# as_fn_exit STATUS
-# -----------------
-# Exit the shell with STATUS, even in a "trap 0" or "set -e" context.
-as_fn_exit ()
-{
-  set +e
-  as_fn_set_status $1
-  exit $1
-} # as_fn_exit
-
-# as_fn_unset VAR
-# ---------------
-# Portably unset VAR.
-as_fn_unset ()
-{
-  { eval $1=; unset $1;}
-}
-as_unset=as_fn_unset
-# as_fn_append VAR VALUE
-# ----------------------
-# Append the text in VALUE to the end of the definition contained in VAR. Take
-# advantage of any shell optimizations that allow amortized linear growth over
-# repeated appends, instead of the typical quadratic growth present in naive
-# implementations.
-if (eval "as_var=1; as_var+=2; test x\$as_var = x12") 2>/dev/null; then :
-  eval 'as_fn_append ()
-  {
-    eval $1+=\$2
-  }'
-else
-  as_fn_append ()
-  {
-    eval $1=\$$1\$2
-  }
-fi # as_fn_append
-
-# as_fn_arith ARG...
-# ------------------
-# Perform arithmetic evaluation on the ARGs, and store the result in the
-# global $as_val. Take advantage of shells that can avoid forks. The arguments
-# must be portable across $(()) and expr.
-if (eval "test \$(( 1 + 1 )) = 2") 2>/dev/null; then :
-  eval 'as_fn_arith ()
-  {
-    as_val=$(( $* ))
-  }'
-else
-  as_fn_arith ()
-  {
-    as_val=`expr "$@" || test $? -eq 1`
-  }
-fi # as_fn_arith
-
-
-if expr a : '\(a\)' >/dev/null 2>&1 &&
-   test "X`expr 00001 : '.*\(...\)'`" = X001; then
-  as_expr=expr
-else
-  as_expr=false
-fi
-
-if (basename -- /) >/dev/null 2>&1 && test "X`basename -- / 2>&1`" = "X/"; then
-  as_basename=basename
-else
-  as_basename=false
-fi
-
-if (as_dir=`dirname -- /` && test "X$as_dir" = X/) >/dev/null 2>&1; then
-  as_dirname=dirname
-else
-  as_dirname=false
-fi
-
-as_me=`$as_basename -- "$0" ||
-$as_expr X/"$0" : '.*/\([^/][^/]*\)/*$' \| \
-	 X"$0" : 'X\(//\)$' \| \
-	 X"$0" : 'X\(/\)' \| . 2>/dev/null ||
-$as_echo X/"$0" |
-    sed '/^.*\/\([^/][^/]*\)\/*$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\/\(\/\/\)$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\/\(\/\).*/{
-	    s//\1/
-	    q
-	  }
-	  s/.*/./; q'`
-
-# Avoid depending upon Character Ranges.
-as_cr_letters='abcdefghijklmnopqrstuvwxyz'
-as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
-as_cr_Letters=$as_cr_letters$as_cr_LETTERS
-as_cr_digits='0123456789'
-as_cr_alnum=$as_cr_Letters$as_cr_digits
-
-ECHO_C= ECHO_N= ECHO_T=
-case `echo -n x` in #(((((
--n*)
-  case `echo 'xy\c'` in
-  *c*) ECHO_T='	';;	# ECHO_T is single tab character.
-  xy)  ECHO_C='\c';;
-  *)   echo `echo ksh88 bug on AIX 6.1` > /dev/null
-       ECHO_T='	';;
-  esac;;
-*)
-  ECHO_N='-n';;
-esac
-
-rm -f conf$$ conf$$.exe conf$$.file
-if test -d conf$$.dir; then
-  rm -f conf$$.dir/conf$$.file
-else
-  rm -f conf$$.dir
-  mkdir conf$$.dir 2>/dev/null
-fi
-if (echo >conf$$.file) 2>/dev/null; then
-  if ln -s conf$$.file conf$$ 2>/dev/null; then
-    as_ln_s='ln -s'
-    # ... but there are two gotchas:
-    # 1) On MSYS, both `ln -s file dir' and `ln file dir' fail.
-    # 2) DJGPP < 2.04 has no symlinks; `ln -s' creates a wrapper executable.
-    # In both cases, we have to default to `cp -p'.
-    ln -s conf$$.file conf$$.dir 2>/dev/null && test ! -f conf$$.exe ||
-      as_ln_s='cp -p'
-  elif ln conf$$.file conf$$ 2>/dev/null; then
-    as_ln_s=ln
-  else
-    as_ln_s='cp -p'
-  fi
-else
-  as_ln_s='cp -p'
-fi
-rm -f conf$$ conf$$.exe conf$$.dir/conf$$.file conf$$.file
-rmdir conf$$.dir 2>/dev/null
-
-
-# as_fn_mkdir_p
-# -------------
-# Create "$as_dir" as a directory, including parents if necessary.
-as_fn_mkdir_p ()
-{
-
-  case $as_dir in #(
-  -*) as_dir=./$as_dir;;
-  esac
-  test -d "$as_dir" || eval $as_mkdir_p || {
-    as_dirs=
-    while :; do
-      case $as_dir in #(
-      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
-      *) as_qdir=$as_dir;;
-      esac
-      as_dirs="'$as_qdir' $as_dirs"
-      as_dir=`$as_dirname -- "$as_dir" ||
-$as_expr X"$as_dir" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
-	 X"$as_dir" : 'X\(//\)[^/]' \| \
-	 X"$as_dir" : 'X\(//\)$' \| \
-	 X"$as_dir" : 'X\(/\)' \| . 2>/dev/null ||
-$as_echo X"$as_dir" |
-    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\/\)[^/].*/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\/\)$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\).*/{
-	    s//\1/
-	    q
-	  }
-	  s/.*/./; q'`
-      test -d "$as_dir" && break
-    done
-    test -z "$as_dirs" || eval "mkdir $as_dirs"
-  } || test -d "$as_dir" || as_fn_error "cannot create directory $as_dir"
-
-
-} # as_fn_mkdir_p
-if mkdir -p . 2>/dev/null; then
-  as_mkdir_p='mkdir -p "$as_dir"'
-else
-  test -d ./-p && rmdir ./-p
-  as_mkdir_p=false
-fi
-
-if test -x / >/dev/null 2>&1; then
-  as_test_x='test -x'
-else
-  if ls -dL / >/dev/null 2>&1; then
-    as_ls_L_option=L
-  else
-    as_ls_L_option=
-  fi
-  as_test_x='
-    eval sh -c '\''
-      if test -d "$1"; then
-	test -d "$1/.";
-      else
-	case $1 in #(
-	-*)set "./$1";;
-	esac;
-	case `ls -ld'$as_ls_L_option' "$1" 2>/dev/null` in #((
-	???[sx]*):;;*)false;;esac;fi
-    '\'' sh
-  '
-fi
-as_executable_p=$as_test_x
-
-# Sed expression to map a string onto a valid CPP name.
-as_tr_cpp="eval sed 'y%*$as_cr_letters%P$as_cr_LETTERS%;s%[^_$as_cr_alnum]%_%g'"
-
-# Sed expression to map a string onto a valid variable name.
-as_tr_sh="eval sed 'y%*+%pp%;s%[^_$as_cr_alnum]%_%g'"
-
-
-exec 6>&1
-## ----------------------------------- ##
-## Main body of $CONFIG_STATUS script. ##
-## ----------------------------------- ##
-_ASEOF
-test $as_write_fail = 0 && chmod +x $CONFIG_STATUS || ac_write_fail=1
-
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-# Save the log message, to keep $0 and so on meaningful, and to
-# report actual input values of CONFIG_FILES etc. instead of their
-# values after options handling.
-ac_log="
-This file was extended by $as_me, which was
-generated by GNU Autoconf 2.65.  Invocation command line was
-
-  CONFIG_FILES    = $CONFIG_FILES
-  CONFIG_HEADERS  = $CONFIG_HEADERS
-  CONFIG_LINKS    = $CONFIG_LINKS
-  CONFIG_COMMANDS = $CONFIG_COMMANDS
-  $ $0 $@
-
-on `(hostname || uname -n) 2>/dev/null | sed 1q`
-"
-
-_ACEOF
-
-case $ac_config_files in *"
-"*) set x $ac_config_files; shift; ac_config_files=$*;;
-esac
-
-case $ac_config_headers in *"
-"*) set x $ac_config_headers; shift; ac_config_headers=$*;;
-esac
-
-
-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
-# Files that config.status was made for.
-config_files="$ac_config_files"
-config_headers="$ac_config_headers"
-config_commands="$ac_config_commands"
-
-_ACEOF
-
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-ac_cs_usage="\
-\`$as_me' instantiates files and other configuration actions
-from templates according to the current configuration.  Unless the files
-and actions are specified as TAGs, all are instantiated by default.
-
-Usage: $0 [OPTION]... [TAG]...
-
-  -h, --help       print this help, then exit
-  -V, --version    print version number and configuration settings, then exit
-      --config     print configuration, then exit
-  -q, --quiet, --silent
-                   do not print progress messages
-  -d, --debug      don't remove temporary files
-      --recheck    update $as_me by reconfiguring in the same conditions
-      --file=FILE[:TEMPLATE]
-                   instantiate the configuration file FILE
-      --header=FILE[:TEMPLATE]
-                   instantiate the configuration header FILE
-
-Configuration files:
-$config_files
-
-Configuration headers:
-$config_headers
-
-Configuration commands:
-$config_commands
-
-Report bugs to the package provider."
-
-_ACEOF
-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
-ac_cs_config="`$as_echo "$ac_configure_args" | sed 's/^ //; s/[\\""\`\$]/\\\\&/g'`"
-ac_cs_version="\\
-config.status
-configured by $0, generated by GNU Autoconf 2.65,
-  with options \\"\$ac_cs_config\\"
-
-Copyright (C) 2009 Free Software Foundation, Inc.
-This config.status script is free software; the Free Software Foundation
-gives unlimited permission to copy, distribute and modify it."
-
-ac_pwd='$ac_pwd'
-srcdir='$srcdir'
-INSTALL='$INSTALL'
-MKDIR_P='$MKDIR_P'
-AWK='$AWK'
-test -n "\$AWK" || AWK=awk
-_ACEOF
-
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-# The default lists apply if the user does not specify any file.
-ac_need_defaults=:
-while test $# != 0
-do
-  case $1 in
-  --*=*)
-    ac_option=`expr "X$1" : 'X\([^=]*\)='`
-    ac_optarg=`expr "X$1" : 'X[^=]*=\(.*\)'`
-    ac_shift=:
-    ;;
-  *)
-    ac_option=$1
-    ac_optarg=$2
-    ac_shift=shift
-    ;;
-  esac
-
-  case $ac_option in
-  # Handling of the options.
-  -recheck | --recheck | --rechec | --reche | --rech | --rec | --re | --r)
-    ac_cs_recheck=: ;;
-  --version | --versio | --versi | --vers | --ver | --ve | --v | -V )
-    $as_echo "$ac_cs_version"; exit ;;
-  --config | --confi | --conf | --con | --co | --c )
-    $as_echo "$ac_cs_config"; exit ;;
-  --debug | --debu | --deb | --de | --d | -d )
-    debug=: ;;
-  --file | --fil | --fi | --f )
-    $ac_shift
-    case $ac_optarg in
-    *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
-    esac
-    as_fn_append CONFIG_FILES " '$ac_optarg'"
-    ac_need_defaults=false;;
-  --header | --heade | --head | --hea )
-    $ac_shift
-    case $ac_optarg in
-    *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
-    esac
-    as_fn_append CONFIG_HEADERS " '$ac_optarg'"
-    ac_need_defaults=false;;
-  --he | --h)
-    # Conflict between --help and --header
-    as_fn_error "ambiguous option: \`$1'
-Try \`$0 --help' for more information.";;
-  --help | --hel | -h )
-    $as_echo "$ac_cs_usage"; exit ;;
-  -q | -quiet | --quiet | --quie | --qui | --qu | --q \
-  | -silent | --silent | --silen | --sile | --sil | --si | --s)
-    ac_cs_silent=: ;;
-
-  # This is an error.
-  -*) as_fn_error "unrecognized option: \`$1'
-Try \`$0 --help' for more information." ;;
-
-  *) as_fn_append ac_config_targets " $1"
-     ac_need_defaults=false ;;
-
-  esac
-  shift
-done
-
-ac_configure_extra_args=
-
-if $ac_cs_silent; then
-  exec 6>/dev/null
-  ac_configure_extra_args="$ac_configure_extra_args --silent"
-fi
-
-_ACEOF
-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
-if \$ac_cs_recheck; then
-  set X '$SHELL' '$0' $ac_configure_args \$ac_configure_extra_args --no-create --no-recursion
-  shift
-  \$as_echo "running CONFIG_SHELL=$SHELL \$*" >&6
-  CONFIG_SHELL='$SHELL'
-  export CONFIG_SHELL
-  exec "\$@"
-fi
-
-_ACEOF
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-exec 5>>config.log
-{
-  echo
-  sed 'h;s/./-/g;s/^.../## /;s/...$/ ##/;p;x;p;x' <<_ASBOX
-## Running $as_me. ##
-_ASBOX
-  $as_echo "$ac_log"
-} >&5
-
-_ACEOF
-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
-#
-# INIT-COMMANDS
-#
-AMDEP_TRUE="$AMDEP_TRUE" ac_aux_dir="$ac_aux_dir"
-
-_ACEOF
-
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-
-# Handling of arguments.
-for ac_config_target in $ac_config_targets
-do
-  case $ac_config_target in
-    "config.h") CONFIG_HEADERS="$CONFIG_HEADERS config.h" ;;
-    "depfiles") CONFIG_COMMANDS="$CONFIG_COMMANDS depfiles" ;;
-    "Makefile") CONFIG_FILES="$CONFIG_FILES Makefile" ;;
-    "doc/Makefile") CONFIG_FILES="$CONFIG_FILES doc/Makefile" ;;
-    "examples/Makefile") CONFIG_FILES="$CONFIG_FILES examples/Makefile" ;;
-    "modelgen/Makefile") CONFIG_FILES="$CONFIG_FILES modelgen/Makefile" ;;
-    "src/Makefile") CONFIG_FILES="$CONFIG_FILES src/Makefile" ;;
-
-  *) as_fn_error "invalid argument: \`$ac_config_target'" "$LINENO" 5;;
-  esac
-done
-
-
-# If the user did not use the arguments to specify the items to instantiate,
-# then the envvar interface is used.  Set only those that are not.
-# We use the long form for the default assignment because of an extremely
-# bizarre bug on SunOS 4.1.3.
-if $ac_need_defaults; then
-  test "${CONFIG_FILES+set}" = set || CONFIG_FILES=$config_files
-  test "${CONFIG_HEADERS+set}" = set || CONFIG_HEADERS=$config_headers
-  test "${CONFIG_COMMANDS+set}" = set || CONFIG_COMMANDS=$config_commands
-fi
-
-# Have a temporary directory for convenience.  Make it in the build tree
-# simply because there is no reason against having it here, and in addition,
-# creating and moving files from /tmp can sometimes cause problems.
-# Hook for its removal unless debugging.
-# Note that there is a small window in which the directory will not be cleaned:
-# after its creation but before its name has been assigned to `$tmp'.
-$debug ||
-{
-  tmp=
-  trap 'exit_status=$?
-  { test -z "$tmp" || test ! -d "$tmp" || rm -fr "$tmp"; } && exit $exit_status
-' 0
-  trap 'as_fn_exit 1' 1 2 13 15
-}
-# Create a (secure) tmp directory for tmp files.
-
-{
-  tmp=`(umask 077 && mktemp -d "./confXXXXXX") 2>/dev/null` &&
-  test -n "$tmp" && test -d "$tmp"
-}  ||
-{
-  tmp=./conf$$-$RANDOM
-  (umask 077 && mkdir "$tmp")
-} || as_fn_error "cannot create a temporary directory in ." "$LINENO" 5
-
-# Set up the scripts for CONFIG_FILES section.
-# No need to generate them if there are no CONFIG_FILES.
-# This happens for instance with `./config.status config.h'.
-if test -n "$CONFIG_FILES"; then
-
-
-ac_cr=`echo X | tr X '\015'`
-# On cygwin, bash can eat \r inside `` if the user requested igncr.
-# But we know of no other shell where ac_cr would be empty at this
-# point, so we can use a bashism as a fallback.
-if test "x$ac_cr" = x; then
-  eval ac_cr=\$\'\\r\'
-fi
-ac_cs_awk_cr=`$AWK 'BEGIN { print "a\rb" }' </dev/null 2>/dev/null`
-if test "$ac_cs_awk_cr" = "a${ac_cr}b"; then
-  ac_cs_awk_cr='\r'
-else
-  ac_cs_awk_cr=$ac_cr
-fi
-
-echo 'BEGIN {' >"$tmp/subs1.awk" &&
-_ACEOF
-
-
-{
-  echo "cat >conf$$subs.awk <<_ACEOF" &&
-  echo "$ac_subst_vars" | sed 's/.*/&!$&$ac_delim/' &&
-  echo "_ACEOF"
-} >conf$$subs.sh ||
-  as_fn_error "could not make $CONFIG_STATUS" "$LINENO" 5
-ac_delim_num=`echo "$ac_subst_vars" | grep -c '$'`
-ac_delim='%!_!# '
-for ac_last_try in false false false false false :; do
-  . ./conf$$subs.sh ||
-    as_fn_error "could not make $CONFIG_STATUS" "$LINENO" 5
-
-  ac_delim_n=`sed -n "s/.*$ac_delim\$/X/p" conf$$subs.awk | grep -c X`
-  if test $ac_delim_n = $ac_delim_num; then
-    break
-  elif $ac_last_try; then
-    as_fn_error "could not make $CONFIG_STATUS" "$LINENO" 5
-  else
-    ac_delim="$ac_delim!$ac_delim _$ac_delim!! "
-  fi
-done
-rm -f conf$$subs.sh
-
-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
-cat >>"\$tmp/subs1.awk" <<\\_ACAWK &&
-_ACEOF
-sed -n '
-h
-s/^/S["/; s/!.*/"]=/
-p
-g
-s/^[^!]*!//
-:repl
-t repl
-s/'"$ac_delim"'$//
-t delim
-:nl
-h
-s/\(.\{148\}\)..*/\1/
-t more1
-s/["\\]/\\&/g; s/^/"/; s/$/\\n"\\/
-p
-n
-b repl
-:more1
-s/["\\]/\\&/g; s/^/"/; s/$/"\\/
-p
-g
-s/.\{148\}//
-t nl
-:delim
-h
-s/\(.\{148\}\)..*/\1/
-t more2
-s/["\\]/\\&/g; s/^/"/; s/$/"/
-p
-b
-:more2
-s/["\\]/\\&/g; s/^/"/; s/$/"\\/
-p
-g
-s/.\{148\}//
-t delim
-' <conf$$subs.awk | sed '
-/^[^""]/{
-  N
-  s/\n//
-}
-' >>$CONFIG_STATUS || ac_write_fail=1
-rm -f conf$$subs.awk
-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
-_ACAWK
-cat >>"\$tmp/subs1.awk" <<_ACAWK &&
-  for (key in S) S_is_set[key] = 1
-  FS = ""
-
-}
-{
-  line = $ 0
-  nfields = split(line, field, "@")
-  substed = 0
-  len = length(field[1])
-  for (i = 2; i < nfields; i++) {
-    key = field[i]
-    keylen = length(key)
-    if (S_is_set[key]) {
-      value = S[key]
-      line = substr(line, 1, len) "" value "" substr(line, len + keylen + 3)
-      len += length(value) + length(field[++i])
-      substed = 1
-    } else
-      len += 1 + keylen
-  }
-
-  print line
-}
-
-_ACAWK
-_ACEOF
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-if sed "s/$ac_cr//" < /dev/null > /dev/null 2>&1; then
-  sed "s/$ac_cr\$//; s/$ac_cr/$ac_cs_awk_cr/g"
-else
-  cat
-fi < "$tmp/subs1.awk" > "$tmp/subs.awk" \
-  || as_fn_error "could not setup config files machinery" "$LINENO" 5
-_ACEOF
-
-# VPATH may cause trouble with some makes, so we remove $(srcdir),
-# ${srcdir} and @srcdir@ from VPATH if srcdir is ".", strip leading and
-# trailing colons and then remove the whole line if VPATH becomes empty
-# (actually we leave an empty line to preserve line numbers).
-if test "x$srcdir" = x.; then
-  ac_vpsub='/^[	 ]*VPATH[	 ]*=/{
-s/:*\$(srcdir):*/:/
-s/:*\${srcdir}:*/:/
-s/:*@srcdir@:*/:/
-s/^\([^=]*=[	 ]*\):*/\1/
-s/:*$//
-s/^[^=]*=[	 ]*$//
-}'
-fi
-
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-fi # test -n "$CONFIG_FILES"
-
-# Set up the scripts for CONFIG_HEADERS section.
-# No need to generate them if there are no CONFIG_HEADERS.
-# This happens for instance with `./config.status Makefile'.
-if test -n "$CONFIG_HEADERS"; then
-cat >"$tmp/defines.awk" <<\_ACAWK ||
-BEGIN {
-_ACEOF
-
-# Transform confdefs.h into an awk script `defines.awk', embedded as
-# here-document in config.status, that substitutes the proper values into
-# config.h.in to produce config.h.
-
-# Create a delimiter string that does not exist in confdefs.h, to ease
-# handling of long lines.
-ac_delim='%!_!# '
-for ac_last_try in false false :; do
-  ac_t=`sed -n "/$ac_delim/p" confdefs.h`
-  if test -z "$ac_t"; then
-    break
-  elif $ac_last_try; then
-    as_fn_error "could not make $CONFIG_HEADERS" "$LINENO" 5
-  else
-    ac_delim="$ac_delim!$ac_delim _$ac_delim!! "
-  fi
-done
-
-# For the awk script, D is an array of macro values keyed by name,
-# likewise P contains macro parameters if any.  Preserve backslash
-# newline sequences.
-
-ac_word_re=[_$as_cr_Letters][_$as_cr_alnum]*
-sed -n '
-s/.\{148\}/&'"$ac_delim"'/g
-t rset
-:rset
-s/^[	 ]*#[	 ]*define[	 ][	 ]*/ /
-t def
-d
-:def
-s/\\$//
-t bsnl
-s/["\\]/\\&/g
-s/^ \('"$ac_word_re"'\)\(([^()]*)\)[	 ]*\(.*\)/P["\1"]="\2"\
-D["\1"]=" \3"/p
-s/^ \('"$ac_word_re"'\)[	 ]*\(.*\)/D["\1"]=" \2"/p
-d
-:bsnl
-s/["\\]/\\&/g
-s/^ \('"$ac_word_re"'\)\(([^()]*)\)[	 ]*\(.*\)/P["\1"]="\2"\
-D["\1"]=" \3\\\\\\n"\\/p
-t cont
-s/^ \('"$ac_word_re"'\)[	 ]*\(.*\)/D["\1"]=" \2\\\\\\n"\\/p
-t cont
-d
-:cont
-n
-s/.\{148\}/&'"$ac_delim"'/g
-t clear
-:clear
-s/\\$//
-t bsnlc
-s/["\\]/\\&/g; s/^/"/; s/$/"/p
-d
-:bsnlc
-s/["\\]/\\&/g; s/^/"/; s/$/\\\\\\n"\\/p
-b cont
-' <confdefs.h | sed '
-s/'"$ac_delim"'/"\\\
-"/g' >>$CONFIG_STATUS || ac_write_fail=1
-
-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
-  for (key in D) D_is_set[key] = 1
-  FS = ""
-}
-/^[\t ]*#[\t ]*(define|undef)[\t ]+$ac_word_re([\t (]|\$)/ {
-  line = \$ 0
-  split(line, arg, " ")
-  if (arg[1] == "#") {
-    defundef = arg[2]
-    mac1 = arg[3]
-  } else {
-    defundef = substr(arg[1], 2)
-    mac1 = arg[2]
-  }
-  split(mac1, mac2, "(") #)
-  macro = mac2[1]
-  prefix = substr(line, 1, index(line, defundef) - 1)
-  if (D_is_set[macro]) {
-    # Preserve the white space surrounding the "#".
-    print prefix "define", macro P[macro] D[macro]
-    next
-  } else {
-    # Replace #undef with comments.  This is necessary, for example,
-    # in the case of _POSIX_SOURCE, which is predefined and required
-    # on some systems where configure will not decide to define it.
-    if (defundef == "undef") {
-      print "/*", prefix defundef, macro, "*/"
-      next
-    }
-  }
-}
-{ print }
-_ACAWK
-_ACEOF
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-  as_fn_error "could not setup config headers machinery" "$LINENO" 5
-fi # test -n "$CONFIG_HEADERS"
-
-
-eval set X "  :F $CONFIG_FILES  :H $CONFIG_HEADERS    :C $CONFIG_COMMANDS"
-shift
-for ac_tag
-do
-  case $ac_tag in
-  :[FHLC]) ac_mode=$ac_tag; continue;;
-  esac
-  case $ac_mode$ac_tag in
-  :[FHL]*:*);;
-  :L* | :C*:*) as_fn_error "invalid tag \`$ac_tag'" "$LINENO" 5;;
-  :[FH]-) ac_tag=-:-;;
-  :[FH]*) ac_tag=$ac_tag:$ac_tag.in;;
-  esac
-  ac_save_IFS=$IFS
-  IFS=:
-  set x $ac_tag
-  IFS=$ac_save_IFS
-  shift
-  ac_file=$1
-  shift
-
-  case $ac_mode in
-  :L) ac_source=$1;;
-  :[FH])
-    ac_file_inputs=
-    for ac_f
-    do
-      case $ac_f in
-      -) ac_f="$tmp/stdin";;
-      *) # Look for the file first in the build tree, then in the source tree
-	 # (if the path is not absolute).  The absolute path cannot be DOS-style,
-	 # because $ac_f cannot contain `:'.
-	 test -f "$ac_f" ||
-	   case $ac_f in
-	   [\\/$]*) false;;
-	   *) test -f "$srcdir/$ac_f" && ac_f="$srcdir/$ac_f";;
-	   esac ||
-	   as_fn_error "cannot find input file: \`$ac_f'" "$LINENO" 5;;
-      esac
-      case $ac_f in *\'*) ac_f=`$as_echo "$ac_f" | sed "s/'/'\\\\\\\\''/g"`;; esac
-      as_fn_append ac_file_inputs " '$ac_f'"
-    done
-
-    # Let's still pretend it is `configure' which instantiates (i.e., don't
-    # use $as_me), people would be surprised to read:
-    #    /* config.h.  Generated by config.status.  */
-    configure_input='Generated from '`
-	  $as_echo "$*" | sed 's|^[^:]*/||;s|:[^:]*/|, |g'
-	`' by configure.'
-    if test x"$ac_file" != x-; then
-      configure_input="$ac_file.  $configure_input"
-      { $as_echo "$as_me:${as_lineno-$LINENO}: creating $ac_file" >&5
-$as_echo "$as_me: creating $ac_file" >&6;}
-    fi
-    # Neutralize special characters interpreted by sed in replacement strings.
-    case $configure_input in #(
-    *\&* | *\|* | *\\* )
-       ac_sed_conf_input=`$as_echo "$configure_input" |
-       sed 's/[\\\\&|]/\\\\&/g'`;; #(
-    *) ac_sed_conf_input=$configure_input;;
-    esac
-
-    case $ac_tag in
-    *:-:* | *:-) cat >"$tmp/stdin" \
-      || as_fn_error "could not create $ac_file" "$LINENO" 5 ;;
-    esac
-    ;;
-  esac
-
-  ac_dir=`$as_dirname -- "$ac_file" ||
-$as_expr X"$ac_file" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
-	 X"$ac_file" : 'X\(//\)[^/]' \| \
-	 X"$ac_file" : 'X\(//\)$' \| \
-	 X"$ac_file" : 'X\(/\)' \| . 2>/dev/null ||
-$as_echo X"$ac_file" |
-    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\/\)[^/].*/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\/\)$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\).*/{
-	    s//\1/
-	    q
-	  }
-	  s/.*/./; q'`
-  as_dir="$ac_dir"; as_fn_mkdir_p
-  ac_builddir=.
-
-case "$ac_dir" in
-.) ac_dir_suffix= ac_top_builddir_sub=. ac_top_build_prefix= ;;
-*)
-  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
-  # A ".." for each directory in $ac_dir_suffix.
-  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
-  case $ac_top_builddir_sub in
-  "") ac_top_builddir_sub=. ac_top_build_prefix= ;;
-  *)  ac_top_build_prefix=$ac_top_builddir_sub/ ;;
-  esac ;;
-esac
-ac_abs_top_builddir=$ac_pwd
-ac_abs_builddir=$ac_pwd$ac_dir_suffix
-# for backward compatibility:
-ac_top_builddir=$ac_top_build_prefix
-
-case $srcdir in
-  .)  # We are building in place.
-    ac_srcdir=.
-    ac_top_srcdir=$ac_top_builddir_sub
-    ac_abs_top_srcdir=$ac_pwd ;;
-  [\\/]* | ?:[\\/]* )  # Absolute name.
-    ac_srcdir=$srcdir$ac_dir_suffix;
-    ac_top_srcdir=$srcdir
-    ac_abs_top_srcdir=$srcdir ;;
-  *) # Relative name.
-    ac_srcdir=$ac_top_build_prefix$srcdir$ac_dir_suffix
-    ac_top_srcdir=$ac_top_build_prefix$srcdir
-    ac_abs_top_srcdir=$ac_pwd/$srcdir ;;
-esac
-ac_abs_srcdir=$ac_abs_top_srcdir$ac_dir_suffix
-
-
-  case $ac_mode in
-  :F)
-  #
-  # CONFIG_FILE
-  #
-
-  case $INSTALL in
-  [\\/$]* | ?:[\\/]* ) ac_INSTALL=$INSTALL ;;
-  *) ac_INSTALL=$ac_top_build_prefix$INSTALL ;;
-  esac
-  ac_MKDIR_P=$MKDIR_P
-  case $MKDIR_P in
-  [\\/$]* | ?:[\\/]* ) ;;
-  */*) ac_MKDIR_P=$ac_top_build_prefix$MKDIR_P ;;
-  esac
-_ACEOF
-
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-# If the template does not know about datarootdir, expand it.
-# FIXME: This hack should be removed a few years after 2.60.
-ac_datarootdir_hack=; ac_datarootdir_seen=
-ac_sed_dataroot='
-/datarootdir/ {
-  p
-  q
-}
-/@datadir@/p
-/@docdir@/p
-/@infodir@/p
-/@localedir@/p
-/@mandir@/p'
-case `eval "sed -n \"\$ac_sed_dataroot\" $ac_file_inputs"` in
-*datarootdir*) ac_datarootdir_seen=yes;;
-*@datadir@*|*@docdir@*|*@infodir@*|*@localedir@*|*@mandir@*)
-  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $ac_file_inputs seems to ignore the --datarootdir setting" >&5
-$as_echo "$as_me: WARNING: $ac_file_inputs seems to ignore the --datarootdir setting" >&2;}
-_ACEOF
-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
-  ac_datarootdir_hack='
-  s&@datadir@&$datadir&g
-  s&@docdir@&$docdir&g
-  s&@infodir@&$infodir&g
-  s&@localedir@&$localedir&g
-  s&@mandir@&$mandir&g
-  s&\\\${datarootdir}&$datarootdir&g' ;;
-esac
-_ACEOF
-
-# Neutralize VPATH when `$srcdir' = `.'.
-# Shell code in configure.ac might set extrasub.
-# FIXME: do we really want to maintain this feature?
-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
-ac_sed_extra="$ac_vpsub
-$extrasub
-_ACEOF
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-:t
-/@[a-zA-Z_][a-zA-Z_0-9]*@/!b
-s|@configure_input@|$ac_sed_conf_input|;t t
-s&@top_builddir@&$ac_top_builddir_sub&;t t
-s&@top_build_prefix@&$ac_top_build_prefix&;t t
-s&@srcdir@&$ac_srcdir&;t t
-s&@abs_srcdir@&$ac_abs_srcdir&;t t
-s&@top_srcdir@&$ac_top_srcdir&;t t
-s&@abs_top_srcdir@&$ac_abs_top_srcdir&;t t
-s&@builddir@&$ac_builddir&;t t
-s&@abs_builddir@&$ac_abs_builddir&;t t
-s&@abs_top_builddir@&$ac_abs_top_builddir&;t t
-s&@INSTALL@&$ac_INSTALL&;t t
-s&@MKDIR_P@&$ac_MKDIR_P&;t t
-$ac_datarootdir_hack
-"
-eval sed \"\$ac_sed_extra\" "$ac_file_inputs" | $AWK -f "$tmp/subs.awk" >$tmp/out \
-  || as_fn_error "could not create $ac_file" "$LINENO" 5
-
-test -z "$ac_datarootdir_hack$ac_datarootdir_seen" &&
-  { ac_out=`sed -n '/\${datarootdir}/p' "$tmp/out"`; test -n "$ac_out"; } &&
-  { ac_out=`sed -n '/^[	 ]*datarootdir[	 ]*:*=/p' "$tmp/out"`; test -z "$ac_out"; } &&
-  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $ac_file contains a reference to the variable \`datarootdir'
-which seems to be undefined.  Please make sure it is defined." >&5
-$as_echo "$as_me: WARNING: $ac_file contains a reference to the variable \`datarootdir'
-which seems to be undefined.  Please make sure it is defined." >&2;}
-
-  rm -f "$tmp/stdin"
-  case $ac_file in
-  -) cat "$tmp/out" && rm -f "$tmp/out";;
-  *) rm -f "$ac_file" && mv "$tmp/out" "$ac_file";;
-  esac \
-  || as_fn_error "could not create $ac_file" "$LINENO" 5
- ;;
-  :H)
-  #
-  # CONFIG_HEADER
-  #
-  if test x"$ac_file" != x-; then
-    {
-      $as_echo "/* $configure_input  */" \
-      && eval '$AWK -f "$tmp/defines.awk"' "$ac_file_inputs"
-    } >"$tmp/config.h" \
-      || as_fn_error "could not create $ac_file" "$LINENO" 5
-    if diff "$ac_file" "$tmp/config.h" >/dev/null 2>&1; then
-      { $as_echo "$as_me:${as_lineno-$LINENO}: $ac_file is unchanged" >&5
-$as_echo "$as_me: $ac_file is unchanged" >&6;}
-    else
-      rm -f "$ac_file"
-      mv "$tmp/config.h" "$ac_file" \
-	|| as_fn_error "could not create $ac_file" "$LINENO" 5
-    fi
-  else
-    $as_echo "/* $configure_input  */" \
-      && eval '$AWK -f "$tmp/defines.awk"' "$ac_file_inputs" \
-      || as_fn_error "could not create -" "$LINENO" 5
-  fi
-# Compute "$ac_file"'s index in $config_headers.
-_am_arg="$ac_file"
-_am_stamp_count=1
-for _am_header in $config_headers :; do
-  case $_am_header in
-    $_am_arg | $_am_arg:* )
-      break ;;
-    * )
-      _am_stamp_count=`expr $_am_stamp_count + 1` ;;
-  esac
-done
-echo "timestamp for $_am_arg" >`$as_dirname -- "$_am_arg" ||
-$as_expr X"$_am_arg" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
-	 X"$_am_arg" : 'X\(//\)[^/]' \| \
-	 X"$_am_arg" : 'X\(//\)$' \| \
-	 X"$_am_arg" : 'X\(/\)' \| . 2>/dev/null ||
-$as_echo X"$_am_arg" |
-    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\/\)[^/].*/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\/\)$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\).*/{
-	    s//\1/
-	    q
-	  }
-	  s/.*/./; q'`/stamp-h$_am_stamp_count
- ;;
-
-  :C)  { $as_echo "$as_me:${as_lineno-$LINENO}: executing $ac_file commands" >&5
-$as_echo "$as_me: executing $ac_file commands" >&6;}
- ;;
-  esac
-
-
-  case $ac_file$ac_mode in
-    "depfiles":C) test x"$AMDEP_TRUE" != x"" || {
-  # Autoconf 2.62 quotes --file arguments for eval, but not when files
-  # are listed without --file.  Let's play safe and only enable the eval
-  # if we detect the quoting.
-  case $CONFIG_FILES in
-  *\'*) eval set x "$CONFIG_FILES" ;;
-  *)   set x $CONFIG_FILES ;;
-  esac
-  shift
-  for mf
-  do
-    # Strip MF so we end up with the name of the file.
-    mf=`echo "$mf" | sed -e 's/:.*$//'`
-    # Check whether this is an Automake generated Makefile or not.
-    # We used to match only the files named `Makefile.in', but
-    # some people rename them; so instead we look at the file content.
-    # Grep'ing the first line is not enough: some people post-process
-    # each Makefile.in and add a new line on top of each file to say so.
-    # Grep'ing the whole file is not good either: AIX grep has a line
-    # limit of 2048, but all sed's we know have understand at least 4000.
-    if sed -n 's,^#.*generated by automake.*,X,p' "$mf" | grep X >/dev/null 2>&1; then
-      dirpart=`$as_dirname -- "$mf" ||
-$as_expr X"$mf" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
-	 X"$mf" : 'X\(//\)[^/]' \| \
-	 X"$mf" : 'X\(//\)$' \| \
-	 X"$mf" : 'X\(/\)' \| . 2>/dev/null ||
-$as_echo X"$mf" |
-    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\/\)[^/].*/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\/\)$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\).*/{
-	    s//\1/
-	    q
-	  }
-	  s/.*/./; q'`
-    else
-      continue
-    fi
-    # Extract the definition of DEPDIR, am__include, and am__quote
-    # from the Makefile without running `make'.
-    DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"`
-    test -z "$DEPDIR" && continue
-    am__include=`sed -n 's/^am__include = //p' < "$mf"`
-    test -z "am__include" && continue
-    am__quote=`sed -n 's/^am__quote = //p' < "$mf"`
-    # When using ansi2knr, U may be empty or an underscore; expand it
-    U=`sed -n 's/^U = //p' < "$mf"`
-    # Find all dependency output files, they are included files with
-    # $(DEPDIR) in their names.  We invoke sed twice because it is the
-    # simplest approach to changing $(DEPDIR) to its actual value in the
-    # expansion.
-    for file in `sed -n "
-      s/^$am__include $am__quote\(.*(DEPDIR).*\)$am__quote"'$/\1/p' <"$mf" | \
-	 sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g' -e 's/\$U/'"$U"'/g'`; do
-      # Make sure the directory exists.
-      test -f "$dirpart/$file" && continue
-      fdir=`$as_dirname -- "$file" ||
-$as_expr X"$file" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
-	 X"$file" : 'X\(//\)[^/]' \| \
-	 X"$file" : 'X\(//\)$' \| \
-	 X"$file" : 'X\(/\)' \| . 2>/dev/null ||
-$as_echo X"$file" |
-    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\/\)[^/].*/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\/\)$/{
-	    s//\1/
-	    q
-	  }
-	  /^X\(\/\).*/{
-	    s//\1/
-	    q
-	  }
-	  s/.*/./; q'`
-      as_dir=$dirpart/$fdir; as_fn_mkdir_p
-      # echo "creating $dirpart/$file"
-      echo '# dummy' > "$dirpart/$file"
-    done
-  done
-}
- ;;
-
-  esac
-done # for ac_tag
-
-
-as_fn_exit 0
-_ACEOF
-ac_clean_files=$ac_clean_files_save
-
-test $ac_write_fail = 0 ||
-  as_fn_error "write failure creating $CONFIG_STATUS" "$LINENO" 5
-
-
-# configure is writing to config.log, and then calls config.status.
-# config.status does its own redirection, appending to config.log.
-# Unfortunately, on DOS this fails, as config.log is still kept open
-# by configure, so config.status won't be able to write to it; its
-# output is simply discarded.  So we exec the FD to /dev/null,
-# effectively closing config.log, so it can be properly (re)opened and
-# appended to by config.status.  When coming back to configure, we
-# need to make the FD available again.
-if test "$no_create" != yes; then
-  ac_cs_success=:
-  ac_config_status_args=
-  test "$silent" = yes &&
-    ac_config_status_args="$ac_config_status_args --quiet"
-  exec 5>/dev/null
-  $SHELL $CONFIG_STATUS $ac_config_status_args || ac_cs_success=false
-  exec 5>>config.log
-  # Use ||, not &&, to avoid exiting from the if with $? = 1, which
-  # would make configure fail if this is the last instruction.
-  $ac_cs_success || as_fn_exit $?
-fi
-if test -n "$ac_unrecognized_opts" && test "$enable_option_checking" != no; then
-  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: unrecognized options: $ac_unrecognized_opts" >&5
-$as_echo "$as_me: WARNING: unrecognized options: $ac_unrecognized_opts" >&2;}
-fi
-
-
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result:
-** Configuration summary for $PACKAGE $VERSION:
-
-   prefix:                   $prefix
-   CPPFLAGS:                 $CPPFLAGS
-   CFLAGS:                   $CFLAGS
-   CXXFLAGS:                 $CXXFLAGS
-   LDFLAGS:                  $LDFLAGS
-   LIBS:                     $LIBS
-
-" >&5
-$as_echo "
-** Configuration summary for $PACKAGE $VERSION:
-
-   prefix:                   $prefix
-   CPPFLAGS:                 $CPPFLAGS
-   CFLAGS:                   $CFLAGS
-   CXXFLAGS:                 $CXXFLAGS
-   LDFLAGS:                  $LDFLAGS
-   LIBS:                     $LIBS
 
-" >&6; }
+args="--prefix=$prefix"
 
+echo "Configuring gnucap using $args"
+#---------------------------------------------------
+echo include
+(cd include; ./configure $args)
+echo lib
+(cd lib; ./configure $args)
+echo modelgen
+(cd modelgen; ./configure $args)
+echo main
+(cd main; ./configure $args)
+echo apps
+(cd apps; ./configure $args)
+echo done
+exit 0
diff --git a/configure.old b/configure.old
deleted file mode 100755
index 8d996e8..0000000
--- a/configure.old
+++ /dev/null
@@ -1,38 +0,0 @@
-#!/bin/sh
-
-#---------------------------------------------------
-#  This stuff introduced by SDB to enable --prefix
-#  at configure time
-
-# echo Input flag = $1
-if test "x$1" != "x"; then
-    # echo Found input parameter -- $1
-    #  Now see if the parameter is --prefix=
-    if test "x${1#--prefix=}" != "x$1"; then
-	# echo "Found --prefix in input args.  Setting prefix directory."
-	prefix=${1#--prefix=}
-    else
-	# echo "Found unrecognized parameter in input args."
-	#  Just use the default prefix dir.
-	prefix=/usr/local
-    fi
-
-else
-    # echo "No input parameter found."
-    #  Just use the default prefix dir
-    prefix=/usr/local
-fi
-
-args="--prefix=$prefix"
-
-echo "Configuring gnucap using $args"
-#---------------------------------------------------
-cp Makefile.template Makefile
-#echo man
-#(cd man; ./configure.old $args)
-echo modelgen
-(cd modelgen; ./configure.old $args)
-echo src
-(cd src; ./configure.old $args)
-echo done
-exit 0
diff --git a/depcomp b/depcomp
deleted file mode 100755
index 04701da..0000000
--- a/depcomp
+++ /dev/null
@@ -1,530 +0,0 @@
-#! /bin/sh
-# depcomp - compile a program generating dependencies as side-effects
-
-scriptversion=2005-07-09.11
-
-# Copyright (C) 1999, 2000, 2003, 2004, 2005 Free Software Foundation, Inc.
-
-# This program is free software; you can redistribute it and/or modify
-# it under the terms of the GNU General Public License as published by
-# the Free Software Foundation; either version 2, or (at your option)
-# any later version.
-
-# This program is distributed in the hope that it will be useful,
-# but WITHOUT ANY WARRANTY; without even the implied warranty of
-# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
-# GNU General Public License for more details.
-
-# You should have received a copy of the GNU General Public License
-# along with this program; if not, write to the Free Software
-# Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
-# 02110-1301, USA.
-
-# As a special exception to the GNU General Public License, if you
-# distribute this file as part of a program that contains a
-# configuration script generated by Autoconf, you may include it under
-# the same distribution terms that you use for the rest of that program.
-
-# Originally written by Alexandre Oliva <oliva at dcc.unicamp.br>.
-
-case $1 in
-  '')
-     echo "$0: No command.  Try \`$0 --help' for more information." 1>&2
-     exit 1;
-     ;;
-  -h | --h*)
-    cat <<\EOF
-Usage: depcomp [--help] [--version] PROGRAM [ARGS]
-
-Run PROGRAMS ARGS to compile a file, generating dependencies
-as side-effects.
-
-Environment variables:
-  depmode     Dependency tracking mode.
-  source      Source file read by `PROGRAMS ARGS'.
-  object      Object file output by `PROGRAMS ARGS'.
-  DEPDIR      directory where to store dependencies.
-  depfile     Dependency file to output.
-  tmpdepfile  Temporary file to use when outputing dependencies.
-  libtool     Whether libtool is used (yes/no).
-
-Report bugs to <bug-automake at gnu.org>.
-EOF
-    exit $?
-    ;;
-  -v | --v*)
-    echo "depcomp $scriptversion"
-    exit $?
-    ;;
-esac
-
-if test -z "$depmode" || test -z "$source" || test -z "$object"; then
-  echo "depcomp: Variables source, object and depmode must be set" 1>&2
-  exit 1
-fi
-
-# Dependencies for sub/bar.o or sub/bar.obj go into sub/.deps/bar.Po.
-depfile=${depfile-`echo "$object" |
-  sed 's|[^\\/]*$|'${DEPDIR-.deps}'/&|;s|\.\([^.]*\)$|.P\1|;s|Pobj$|Po|'`}
-tmpdepfile=${tmpdepfile-`echo "$depfile" | sed 's/\.\([^.]*\)$/.T\1/'`}
-
-rm -f "$tmpdepfile"
-
-# Some modes work just like other modes, but use different flags.  We
-# parameterize here, but still list the modes in the big case below,
-# to make depend.m4 easier to write.  Note that we *cannot* use a case
-# here, because this file can only contain one case statement.
-if test "$depmode" = hp; then
-  # HP compiler uses -M and no extra arg.
-  gccflag=-M
-  depmode=gcc
-fi
-
-if test "$depmode" = dashXmstdout; then
-   # This is just like dashmstdout with a different argument.
-   dashmflag=-xM
-   depmode=dashmstdout
-fi
-
-case "$depmode" in
-gcc3)
-## gcc 3 implements dependency tracking that does exactly what
-## we want.  Yay!  Note: for some reason libtool 1.4 doesn't like
-## it if -MD -MP comes after the -MF stuff.  Hmm.
-  "$@" -MT "$object" -MD -MP -MF "$tmpdepfile"
-  stat=$?
-  if test $stat -eq 0; then :
-  else
-    rm -f "$tmpdepfile"
-    exit $stat
-  fi
-  mv "$tmpdepfile" "$depfile"
-  ;;
-
-gcc)
-## There are various ways to get dependency output from gcc.  Here's
-## why we pick this rather obscure method:
-## - Don't want to use -MD because we'd like the dependencies to end
-##   up in a subdir.  Having to rename by hand is ugly.
-##   (We might end up doing this anyway to support other compilers.)
-## - The DEPENDENCIES_OUTPUT environment variable makes gcc act like
-##   -MM, not -M (despite what the docs say).
-## - Using -M directly means running the compiler twice (even worse
-##   than renaming).
-  if test -z "$gccflag"; then
-    gccflag=-MD,
-  fi
-  "$@" -Wp,"$gccflag$tmpdepfile"
-  stat=$?
-  if test $stat -eq 0; then :
-  else
-    rm -f "$tmpdepfile"
-    exit $stat
-  fi
-  rm -f "$depfile"
-  echo "$object : \\" > "$depfile"
-  alpha=ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz
-## The second -e expression handles DOS-style file names with drive letters.
-  sed -e 's/^[^:]*: / /' \
-      -e 's/^['$alpha']:\/[^:]*: / /' < "$tmpdepfile" >> "$depfile"
-## This next piece of magic avoids the `deleted header file' problem.
-## The problem is that when a header file which appears in a .P file
-## is deleted, the dependency causes make to die (because there is
-## typically no way to rebuild the header).  We avoid this by adding
-## dummy dependencies for each header file.  Too bad gcc doesn't do
-## this for us directly.
-  tr ' ' '
-' < "$tmpdepfile" |
-## Some versions of gcc put a space before the `:'.  On the theory
-## that the space means something, we add a space to the output as
-## well.
-## Some versions of the HPUX 10.20 sed can't process this invocation
-## correctly.  Breaking it into two sed invocations is a workaround.
-    sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile"
-  rm -f "$tmpdepfile"
-  ;;
-
-hp)
-  # This case exists only to let depend.m4 do its work.  It works by
-  # looking at the text of this script.  This case will never be run,
-  # since it is checked for above.
-  exit 1
-  ;;
-
-sgi)
-  if test "$libtool" = yes; then
-    "$@" "-Wp,-MDupdate,$tmpdepfile"
-  else
-    "$@" -MDupdate "$tmpdepfile"
-  fi
-  stat=$?
-  if test $stat -eq 0; then :
-  else
-    rm -f "$tmpdepfile"
-    exit $stat
-  fi
-  rm -f "$depfile"
-
-  if test -f "$tmpdepfile"; then  # yes, the sourcefile depend on other files
-    echo "$object : \\" > "$depfile"
-
-    # Clip off the initial element (the dependent).  Don't try to be
-    # clever and replace this with sed code, as IRIX sed won't handle
-    # lines with more than a fixed number of characters (4096 in
-    # IRIX 6.2 sed, 8192 in IRIX 6.5).  We also remove comment lines;
-    # the IRIX cc adds comments like `#:fec' to the end of the
-    # dependency line.
-    tr ' ' '
-' < "$tmpdepfile" \
-    | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' | \
-    tr '
-' ' ' >> $depfile
-    echo >> $depfile
-
-    # The second pass generates a dummy entry for each header file.
-    tr ' ' '
-' < "$tmpdepfile" \
-   | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' -e 's/$/:/' \
-   >> $depfile
-  else
-    # The sourcefile does not contain any dependencies, so just
-    # store a dummy comment line, to avoid errors with the Makefile
-    # "include basename.Plo" scheme.
-    echo "#dummy" > "$depfile"
-  fi
-  rm -f "$tmpdepfile"
-  ;;
-
-aix)
-  # The C for AIX Compiler uses -M and outputs the dependencies
-  # in a .u file.  In older versions, this file always lives in the
-  # current directory.  Also, the AIX compiler puts `$object:' at the
-  # start of each line; $object doesn't have directory information.
-  # Version 6 uses the directory in both cases.
-  stripped=`echo "$object" | sed 's/\(.*\)\..*$/\1/'`
-  tmpdepfile="$stripped.u"
-  if test "$libtool" = yes; then
-    "$@" -Wc,-M
-  else
-    "$@" -M
-  fi
-  stat=$?
-
-  if test -f "$tmpdepfile"; then :
-  else
-    stripped=`echo "$stripped" | sed 's,^.*/,,'`
-    tmpdepfile="$stripped.u"
-  fi
-
-  if test $stat -eq 0; then :
-  else
-    rm -f "$tmpdepfile"
-    exit $stat
-  fi
-
-  if test -f "$tmpdepfile"; then
-    outname="$stripped.o"
-    # Each line is of the form `foo.o: dependent.h'.
-    # Do two passes, one to just change these to
-    # `$object: dependent.h' and one to simply `dependent.h:'.
-    sed -e "s,^$outname:,$object :," < "$tmpdepfile" > "$depfile"
-    sed -e "s,^$outname: \(.*\)$,\1:," < "$tmpdepfile" >> "$depfile"
-  else
-    # The sourcefile does not contain any dependencies, so just
-    # store a dummy comment line, to avoid errors with the Makefile
-    # "include basename.Plo" scheme.
-    echo "#dummy" > "$depfile"
-  fi
-  rm -f "$tmpdepfile"
-  ;;
-
-icc)
-  # Intel's C compiler understands `-MD -MF file'.  However on
-  #    icc -MD -MF foo.d -c -o sub/foo.o sub/foo.c
-  # ICC 7.0 will fill foo.d with something like
-  #    foo.o: sub/foo.c
-  #    foo.o: sub/foo.h
-  # which is wrong.  We want:
-  #    sub/foo.o: sub/foo.c
-  #    sub/foo.o: sub/foo.h
-  #    sub/foo.c:
-  #    sub/foo.h:
-  # ICC 7.1 will output
-  #    foo.o: sub/foo.c sub/foo.h
-  # and will wrap long lines using \ :
-  #    foo.o: sub/foo.c ... \
-  #     sub/foo.h ... \
-  #     ...
-
-  "$@" -MD -MF "$tmpdepfile"
-  stat=$?
-  if test $stat -eq 0; then :
-  else
-    rm -f "$tmpdepfile"
-    exit $stat
-  fi
-  rm -f "$depfile"
-  # Each line is of the form `foo.o: dependent.h',
-  # or `foo.o: dep1.h dep2.h \', or ` dep3.h dep4.h \'.
-  # Do two passes, one to just change these to
-  # `$object: dependent.h' and one to simply `dependent.h:'.
-  sed "s,^[^:]*:,$object :," < "$tmpdepfile" > "$depfile"
-  # Some versions of the HPUX 10.20 sed can't process this invocation
-  # correctly.  Breaking it into two sed invocations is a workaround.
-  sed 's,^[^:]*: \(.*\)$,\1,;s/^\\$//;/^$/d;/:$/d' < "$tmpdepfile" |
-    sed -e 's/$/ :/' >> "$depfile"
-  rm -f "$tmpdepfile"
-  ;;
-
-tru64)
-   # The Tru64 compiler uses -MD to generate dependencies as a side
-   # effect.  `cc -MD -o foo.o ...' puts the dependencies into `foo.o.d'.
-   # At least on Alpha/Redhat 6.1, Compaq CCC V6.2-504 seems to put
-   # dependencies in `foo.d' instead, so we check for that too.
-   # Subdirectories are respected.
-   dir=`echo "$object" | sed -e 's|/[^/]*$|/|'`
-   test "x$dir" = "x$object" && dir=
-   base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'`
-
-   if test "$libtool" = yes; then
-      # With Tru64 cc, shared objects can also be used to make a
-      # static library.  This mecanism is used in libtool 1.4 series to
-      # handle both shared and static libraries in a single compilation.
-      # With libtool 1.4, dependencies were output in $dir.libs/$base.lo.d.
-      #
-      # With libtool 1.5 this exception was removed, and libtool now
-      # generates 2 separate objects for the 2 libraries.  These two
-      # compilations output dependencies in in $dir.libs/$base.o.d and
-      # in $dir$base.o.d.  We have to check for both files, because
-      # one of the two compilations can be disabled.  We should prefer
-      # $dir$base.o.d over $dir.libs/$base.o.d because the latter is
-      # automatically cleaned when .libs/ is deleted, while ignoring
-      # the former would cause a distcleancheck panic.
-      tmpdepfile1=$dir.libs/$base.lo.d   # libtool 1.4
-      tmpdepfile2=$dir$base.o.d          # libtool 1.5
-      tmpdepfile3=$dir.libs/$base.o.d    # libtool 1.5
-      tmpdepfile4=$dir.libs/$base.d      # Compaq CCC V6.2-504
-      "$@" -Wc,-MD
-   else
-      tmpdepfile1=$dir$base.o.d
-      tmpdepfile2=$dir$base.d
-      tmpdepfile3=$dir$base.d
-      tmpdepfile4=$dir$base.d
-      "$@" -MD
-   fi
-
-   stat=$?
-   if test $stat -eq 0; then :
-   else
-      rm -f "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3" "$tmpdepfile4"
-      exit $stat
-   fi
-
-   for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3" "$tmpdepfile4"
-   do
-     test -f "$tmpdepfile" && break
-   done
-   if test -f "$tmpdepfile"; then
-      sed -e "s,^.*\.[a-z]*:,$object:," < "$tmpdepfile" > "$depfile"
-      # That's a tab and a space in the [].
-      sed -e 's,^.*\.[a-z]*:[	 ]*,,' -e 's,$,:,' < "$tmpdepfile" >> "$depfile"
-   else
-      echo "#dummy" > "$depfile"
-   fi
-   rm -f "$tmpdepfile"
-   ;;
-
-#nosideeffect)
-  # This comment above is used by automake to tell side-effect
-  # dependency tracking mechanisms from slower ones.
-
-dashmstdout)
-  # Important note: in order to support this mode, a compiler *must*
-  # always write the preprocessed file to stdout, regardless of -o.
-  "$@" || exit $?
-
-  # Remove the call to Libtool.
-  if test "$libtool" = yes; then
-    while test $1 != '--mode=compile'; do
-      shift
-    done
-    shift
-  fi
-
-  # Remove `-o $object'.
-  IFS=" "
-  for arg
-  do
-    case $arg in
-    -o)
-      shift
-      ;;
-    $object)
-      shift
-      ;;
-    *)
-      set fnord "$@" "$arg"
-      shift # fnord
-      shift # $arg
-      ;;
-    esac
-  done
-
-  test -z "$dashmflag" && dashmflag=-M
-  # Require at least two characters before searching for `:'
-  # in the target name.  This is to cope with DOS-style filenames:
-  # a dependency such as `c:/foo/bar' could be seen as target `c' otherwise.
-  "$@" $dashmflag |
-    sed 's:^[  ]*[^: ][^:][^:]*\:[    ]*:'"$object"'\: :' > "$tmpdepfile"
-  rm -f "$depfile"
-  cat < "$tmpdepfile" > "$depfile"
-  tr ' ' '
-' < "$tmpdepfile" | \
-## Some versions of the HPUX 10.20 sed can't process this invocation
-## correctly.  Breaking it into two sed invocations is a workaround.
-    sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile"
-  rm -f "$tmpdepfile"
-  ;;
-
-dashXmstdout)
-  # This case only exists to satisfy depend.m4.  It is never actually
-  # run, as this mode is specially recognized in the preamble.
-  exit 1
-  ;;
-
-makedepend)
-  "$@" || exit $?
-  # Remove any Libtool call
-  if test "$libtool" = yes; then
-    while test $1 != '--mode=compile'; do
-      shift
-    done
-    shift
-  fi
-  # X makedepend
-  shift
-  cleared=no
-  for arg in "$@"; do
-    case $cleared in
-    no)
-      set ""; shift
-      cleared=yes ;;
-    esac
-    case "$arg" in
-    -D*|-I*)
-      set fnord "$@" "$arg"; shift ;;
-    # Strip any option that makedepend may not understand.  Remove
-    # the object too, otherwise makedepend will parse it as a source file.
-    -*|$object)
-      ;;
-    *)
-      set fnord "$@" "$arg"; shift ;;
-    esac
-  done
-  obj_suffix="`echo $object | sed 's/^.*\././'`"
-  touch "$tmpdepfile"
-  ${MAKEDEPEND-makedepend} -o"$obj_suffix" -f"$tmpdepfile" "$@"
-  rm -f "$depfile"
-  cat < "$tmpdepfile" > "$depfile"
-  sed '1,2d' "$tmpdepfile" | tr ' ' '
-' | \
-## Some versions of the HPUX 10.20 sed can't process this invocation
-## correctly.  Breaking it into two sed invocations is a workaround.
-    sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile"
-  rm -f "$tmpdepfile" "$tmpdepfile".bak
-  ;;
-
-cpp)
-  # Important note: in order to support this mode, a compiler *must*
-  # always write the preprocessed file to stdout.
-  "$@" || exit $?
-
-  # Remove the call to Libtool.
-  if test "$libtool" = yes; then
-    while test $1 != '--mode=compile'; do
-      shift
-    done
-    shift
-  fi
-
-  # Remove `-o $object'.
-  IFS=" "
-  for arg
-  do
-    case $arg in
-    -o)
-      shift
-      ;;
-    $object)
-      shift
-      ;;
-    *)
-      set fnord "$@" "$arg"
-      shift # fnord
-      shift # $arg
-      ;;
-    esac
-  done
-
-  "$@" -E |
-    sed -n -e '/^# [0-9][0-9]* "\([^"]*\)".*/ s:: \1 \\:p' \
-       -e '/^#line [0-9][0-9]* "\([^"]*\)".*/ s:: \1 \\:p' |
-    sed '$ s: \\$::' > "$tmpdepfile"
-  rm -f "$depfile"
-  echo "$object : \\" > "$depfile"
-  cat < "$tmpdepfile" >> "$depfile"
-  sed < "$tmpdepfile" '/^$/d;s/^ //;s/ \\$//;s/$/ :/' >> "$depfile"
-  rm -f "$tmpdepfile"
-  ;;
-
-msvisualcpp)
-  # Important note: in order to support this mode, a compiler *must*
-  # always write the preprocessed file to stdout, regardless of -o,
-  # because we must use -o when running libtool.
-  "$@" || exit $?
-  IFS=" "
-  for arg
-  do
-    case "$arg" in
-    "-Gm"|"/Gm"|"-Gi"|"/Gi"|"-ZI"|"/ZI")
-	set fnord "$@"
-	shift
-	shift
-	;;
-    *)
-	set fnord "$@" "$arg"
-	shift
-	shift
-	;;
-    esac
-  done
-  "$@" -E |
-  sed -n '/^#line [0-9][0-9]* "\([^"]*\)"/ s::echo "`cygpath -u \\"\1\\"`":p' | sort | uniq > "$tmpdepfile"
-  rm -f "$depfile"
-  echo "$object : \\" > "$depfile"
-  . "$tmpdepfile" | sed 's% %\\ %g' | sed -n '/^\(.*\)$/ s::	\1 \\:p' >> "$depfile"
-  echo "	" >> "$depfile"
-  . "$tmpdepfile" | sed 's% %\\ %g' | sed -n '/^\(.*\)$/ s::\1\::p' >> "$depfile"
-  rm -f "$tmpdepfile"
-  ;;
-
-none)
-  exec "$@"
-  ;;
-
-*)
-  echo "Unknown depmode $depmode" 1>&2
-  exit 1
-  ;;
-esac
-
-exit 0
-
-# Local Variables:
-# mode: shell-script
-# sh-indentation: 2
-# eval: (add-hook 'write-file-hooks 'time-stamp)
-# time-stamp-start: "scriptversion="
-# time-stamp-format: "%:y-%02m-%02d.%02H"
-# time-stamp-end: "$"
-# End:
diff --git a/doc/COPYING b/doc/COPYING
deleted file mode 100644
index 623b625..0000000
--- a/doc/COPYING
+++ /dev/null
@@ -1,340 +0,0 @@
-		    GNU GENERAL PUBLIC LICENSE
-		       Version 2, June 1991
-
- Copyright (C) 1989, 1991 Free Software Foundation, Inc.
-     51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
- Everyone is permitted to copy and distribute verbatim copies
- of this license document, but changing it is not allowed.
-
-			    Preamble
-
-  The licenses for most software are designed to take away your
-freedom to share and change it.  By contrast, the GNU General Public
-License is intended to guarantee your freedom to share and change free
-software--to make sure the software is free for all its users.  This
-General Public License applies to most of the Free Software
-Foundation's software and to any other program whose authors commit to
-using it.  (Some other Free Software Foundation software is covered by
-the GNU Library General Public License instead.)  You can apply it to
-your programs, too.
-
-  When we speak of free software, we are referring to freedom, not
-price.  Our General Public Licenses are designed to make sure that you
-have the freedom to distribute copies of free software (and charge for
-this service if you wish), that you receive source code or can get it
-if you want it, that you can change the software or use pieces of it
-in new free programs; and that you know you can do these things.
-
-  To protect your rights, we need to make restrictions that forbid
-anyone to deny you these rights or to ask you to surrender the rights.
-These restrictions translate to certain responsibilities for you if you
-distribute copies of the software, or if you modify it.
-
-  For example, if you distribute copies of such a program, whether
-gratis or for a fee, you must give the recipients all the rights that
-you have.  You must make sure that they, too, receive or can get the
-source code.  And you must show them these terms so they know their
-rights.
-
-  We protect your rights with two steps: (1) copyright the software, and
-(2) offer you this license which gives you legal permission to copy,
-distribute and/or modify the software.
-
-  Also, for each author's protection and ours, we want to make certain
-that everyone understands that there is no warranty for this free
-software.  If the software is modified by someone else and passed on, we
-want its recipients to know that what they have is not the original, so
-that any problems introduced by others will not reflect on the original
-authors' reputations.
-
-  Finally, any free program is threatened constantly by software
-patents.  We wish to avoid the danger that redistributors of a free
-program will individually obtain patent licenses, in effect making the
-program proprietary.  To prevent this, we have made it clear that any
-patent must be licensed for everyone's free use or not licensed at all.
-
-  The precise terms and conditions for copying, distribution and
-modification follow.
-

-		    GNU GENERAL PUBLIC LICENSE
-   TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION
-
-  0. This License applies to any program or other work which contains
-a notice placed by the copyright holder saying it may be distributed
-under the terms of this General Public License.  The "Program", below,
-refers to any such program or work, and a "work based on the Program"
-means either the Program or any derivative work under copyright law:
-that is to say, a work containing the Program or a portion of it,
-either verbatim or with modifications and/or translated into another
-language.  (Hereinafter, translation is included without limitation in
-the term "modification".)  Each licensee is addressed as "you".
-
-Activities other than copying, distribution and modification are not
-covered by this License; they are outside its scope.  The act of
-running the Program is not restricted, and the output from the Program
-is covered only if its contents constitute a work based on the
-Program (independent of having been made by running the Program).
-Whether that is true depends on what the Program does.
-
-  1. You may copy and distribute verbatim copies of the Program's
-source code as you receive it, in any medium, provided that you
-conspicuously and appropriately publish on each copy an appropriate
-copyright notice and disclaimer of warranty; keep intact all the
-notices that refer to this License and to the absence of any warranty;
-and give any other recipients of the Program a copy of this License
-along with the Program.
-
-You may charge a fee for the physical act of transferring a copy, and
-you may at your option offer warranty protection in exchange for a fee.
-
-  2. You may modify your copy or copies of the Program or any portion
-of it, thus forming a work based on the Program, and copy and
-distribute such modifications or work under the terms of Section 1
-above, provided that you also meet all of these conditions:
-
-    a) You must cause the modified files to carry prominent notices
-    stating that you changed the files and the date of any change.
-
-    b) You must cause any work that you distribute or publish, that in
-    whole or in part contains or is derived from the Program or any
-    part thereof, to be licensed as a whole at no charge to all third
-    parties under the terms of this License.
-
-    c) If the modified program normally reads commands interactively
-    when run, you must cause it, when started running for such
-    interactive use in the most ordinary way, to print or display an
-    announcement including an appropriate copyright notice and a
-    notice that there is no warranty (or else, saying that you provide
-    a warranty) and that users may redistribute the program under
-    these conditions, and telling the user how to view a copy of this
-    License.  (Exception: if the Program itself is interactive but
-    does not normally print such an announcement, your work based on
-    the Program is not required to print an announcement.)
-

-These requirements apply to the modified work as a whole.  If
-identifiable sections of that work are not derived from the Program,
-and can be reasonably considered independent and separate works in
-themselves, then this License, and its terms, do not apply to those
-sections when you distribute them as separate works.  But when you
-distribute the same sections as part of a whole which is a work based
-on the Program, the distribution of the whole must be on the terms of
-this License, whose permissions for other licensees extend to the
-entire whole, and thus to each and every part regardless of who wrote it.
-
-Thus, it is not the intent of this section to claim rights or contest
-your rights to work written entirely by you; rather, the intent is to
-exercise the right to control the distribution of derivative or
-collective works based on the Program.
-
-In addition, mere aggregation of another work not based on the Program
-with the Program (or with a work based on the Program) on a volume of
-a storage or distribution medium does not bring the other work under
-the scope of this License.
-
-  3. You may copy and distribute the Program (or a work based on it,
-under Section 2) in object code or executable form under the terms of
-Sections 1 and 2 above provided that you also do one of the following:
-
-    a) Accompany it with the complete corresponding machine-readable
-    source code, which must be distributed under the terms of Sections
-    1 and 2 above on a medium customarily used for software interchange; or,
-
-    b) Accompany it with a written offer, valid for at least three
-    years, to give any third party, for a charge no more than your
-    cost of physically performing source distribution, a complete
-    machine-readable copy of the corresponding source code, to be
-    distributed under the terms of Sections 1 and 2 above on a medium
-    customarily used for software interchange; or,
-
-    c) Accompany it with the information you received as to the offer
-    to distribute corresponding source code.  (This alternative is
-    allowed only for noncommercial distribution and only if you
-    received the program in object code or executable form with such
-    an offer, in accord with Subsection b above.)
-
-The source code for a work means the preferred form of the work for
-making modifications to it.  For an executable work, complete source
-code means all the source code for all modules it contains, plus any
-associated interface definition files, plus the scripts used to
-control compilation and installation of the executable.  However, as a
-special exception, the source code distributed need not include
-anything that is normally distributed (in either source or binary
-form) with the major components (compiler, kernel, and so on) of the
-operating system on which the executable runs, unless that component
-itself accompanies the executable.
-
-If distribution of executable or object code is made by offering
-access to copy from a designated place, then offering equivalent
-access to copy the source code from the same place counts as
-distribution of the source code, even though third parties are not
-compelled to copy the source along with the object code.
-

-  4. You may not copy, modify, sublicense, or distribute the Program
-except as expressly provided under this License.  Any attempt
-otherwise to copy, modify, sublicense or distribute the Program is
-void, and will automatically terminate your rights under this License.
-However, parties who have received copies, or rights, from you under
-this License will not have their licenses terminated so long as such
-parties remain in full compliance.
-
-  5. You are not required to accept this License, since you have not
-signed it.  However, nothing else grants you permission to modify or
-distribute the Program or its derivative works.  These actions are
-prohibited by law if you do not accept this License.  Therefore, by
-modifying or distributing the Program (or any work based on the
-Program), you indicate your acceptance of this License to do so, and
-all its terms and conditions for copying, distributing or modifying
-the Program or works based on it.
-
-  6. Each time you redistribute the Program (or any work based on the
-Program), the recipient automatically receives a license from the
-original licensor to copy, distribute or modify the Program subject to
-these terms and conditions.  You may not impose any further
-restrictions on the recipients' exercise of the rights granted herein.
-You are not responsible for enforcing compliance by third parties to
-this License.
-
-  7. If, as a consequence of a court judgment or allegation of patent
-infringement or for any other reason (not limited to patent issues),
-conditions are imposed on you (whether by court order, agreement or
-otherwise) that contradict the conditions of this License, they do not
-excuse you from the conditions of this License.  If you cannot
-distribute so as to satisfy simultaneously your obligations under this
-License and any other pertinent obligations, then as a consequence you
-may not distribute the Program at all.  For example, if a patent
-license would not permit royalty-free redistribution of the Program by
-all those who receive copies directly or indirectly through you, then
-the only way you could satisfy both it and this License would be to
-refrain entirely from distribution of the Program.
-
-If any portion of this section is held invalid or unenforceable under
-any particular circumstance, the balance of the section is intended to
-apply and the section as a whole is intended to apply in other
-circumstances.
-
-It is not the purpose of this section to induce you to infringe any
-patents or other property right claims or to contest validity of any
-such claims; this section has the sole purpose of protecting the
-integrity of the free software distribution system, which is
-implemented by public license practices.  Many people have made
-generous contributions to the wide range of software distributed
-through that system in reliance on consistent application of that
-system; it is up to the author/donor to decide if he or she is willing
-to distribute software through any other system and a licensee cannot
-impose that choice.
-
-This section is intended to make thoroughly clear what is believed to
-be a consequence of the rest of this License.
-

-  8. If the distribution and/or use of the Program is restricted in
-certain countries either by patents or by copyrighted interfaces, the
-original copyright holder who places the Program under this License
-may add an explicit geographical distribution limitation excluding
-those countries, so that distribution is permitted only in or among
-countries not thus excluded.  In such case, this License incorporates
-the limitation as if written in the body of this License.
-
-  9. The Free Software Foundation may publish revised and/or new versions
-of the General Public License from time to time.  Such new versions will
-be similar in spirit to the present version, but may differ in detail to
-address new problems or concerns.
-
-Each version is given a distinguishing version number.  If the Program
-specifies a version number of this License which applies to it and "any
-later version", you have the option of following the terms and conditions
-either of that version or of any later version published by the Free
-Software Foundation.  If the Program does not specify a version number of
-this License, you may choose any version ever published by the Free Software
-Foundation.
-
-  10. If you wish to incorporate parts of the Program into other free
-programs whose distribution conditions are different, write to the author
-to ask for permission.  For software which is copyrighted by the Free
-Software Foundation, write to the Free Software Foundation; we sometimes
-make exceptions for this.  Our decision will be guided by the two goals
-of preserving the free status of all derivatives of our free software and
-of promoting the sharing and reuse of software generally.
-
-			    NO WARRANTY
-
-  11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY
-FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW.  EXCEPT WHEN
-OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES
-PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED
-OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
-MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE.  THE ENTIRE RISK AS
-TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU.  SHOULD THE
-PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING,
-REPAIR OR CORRECTION.
-
-  12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING
-WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR
-REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES,
-INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING
-OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED
-TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY
-YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER
-PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE
-POSSIBILITY OF SUCH DAMAGES.
-
-		     END OF TERMS AND CONDITIONS
-

-	    How to Apply These Terms to Your New Programs
-
-  If you develop a new program, and you want it to be of the greatest
-possible use to the public, the best way to achieve this is to make it
-free software which everyone can redistribute and change under these terms.
-
-  To do so, attach the following notices to the program.  It is safest
-to attach them to the start of each source file to most effectively
-convey the exclusion of warranty; and each file should have at least
-the "copyright" line and a pointer to where the full notice is found.
-
-    <one line to give the program's name and a brief idea of what it does.>
-    Copyright (C) <year>  <name of author>
-
-    This program is free software; you can redistribute it and/or modify
-    it under the terms of the GNU General Public License as published by
-    the Free Software Foundation; either version 2 of the License, or
-    (at your option) any later version.
-
-    This program is distributed in the hope that it will be useful,
-    but WITHOUT ANY WARRANTY; without even the implied warranty of
-    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
-    GNU General Public License for more details.
-
-    You should have received a copy of the GNU General Public License
-    along with this program; if not, write to the Free Software
-    Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
-
-
-Also add information on how to contact you by electronic and paper mail.
-
-If the program is interactive, make it output a short notice like this
-when it starts in an interactive mode:
-
-    Gnomovision version 69, Copyright (C) year  name of author
-    Gnomovision comes with ABSOLUTELY NO WARRANTY; for details type `show w'.
-    This is free software, and you are welcome to redistribute it
-    under certain conditions; type `show c' for details.
-
-The hypothetical commands `show w' and `show c' should show the appropriate
-parts of the General Public License.  Of course, the commands you use may
-be called something other than `show w' and `show c'; they could even be
-mouse-clicks or menu items--whatever suits your program.
-
-You should also get your employer (if you work as a programmer) or your
-school, if any, to sign a "copyright disclaimer" for the program, if
-necessary.  Here is a sample; alter the names:
-
-  Yoyodyne, Inc., hereby disclaims all copyright interest in the program
-  `Gnomovision' (which makes passes at compilers) written by James Hacker.
-
-  <signature of Ty Coon>, 1 April 1989
-  Ty Coon, President of Vice
-
-This General Public License does not permit incorporating your program into
-proprietary programs.  If your program is a subroutine library, you may
-consider it more useful to permit linking proprietary applications with the
-library.  If this is what you want to do, use the GNU Library General
-Public License instead of this License.
diff --git a/doc/INSTALL b/doc/INSTALL
deleted file mode 100644
index 17342ee..0000000
--- a/doc/INSTALL
+++ /dev/null
@@ -1,62 +0,0 @@
-GnuCap 0.30 installation instructions  (10/29/2001)
-
-For this version, you can use either the GNU style "configure;make"
-style build process, or the old ACS style.  If it works for you, use
-the GNU style.
-
-For the GNU style build, just type "./configure" then "make" from the
-project's root directory.  This will configure both the model compiler
-and the simulator, and then build the model compiler first, then use
-it to build the simulator.  That should be all that is needed.  You do
-not to read any further.
-
-
-It that doesn't work for you, a two step manual configuration may be
-required.  First you build the model compiler, then you build the
-simulator.  You can usually get away with only building the simulator.
-
-So ..
-cd to modelgen, type make (as below)
-then go back down and cd to src, type make (as below)
-
-If it fails, go into its build directory (the one containing the .o
-files) and manually create a symbolic link to the model compiler.
-
-
-"Type make" really means ......
-
-Usually, you can just type "make".  This will make a "release"
-version, with optimization on and extra debug code out.  It will build
-in the O subdirectory.  This assumes you have g++ in a reasonable
-configuration.
-
-To make a "debug" version (slow, with additional error checking), type
-"make debug".  If you have a recent g++ compiler, this should build it
-in the O-DEBUG subdirectory.
-
-If your compiler is not g=++, but called by "CC", try "make CC".  This
-is believed to work with some compilers.  Some of them do not
-implement the full language, so they cannot be used.  Try it.  There
-is a special one "sun4-CC" for a Sun running Solaris with the most
-recent version of Sun's compiler.  It will not work with older
-versions.
-
-To make a "release" version for a particular system, type make
-followed by your system type, such as "make linux".  This will build
-it in a subdirectory (in this case LINUX).  With this method, you can
-build for multiple systems in the same directory.
-
-Look at "Makefile" for a list of supported systems, and clues of how
-to do it on others.  Most of them have not been tried in years.
-
-If it doesn't work, edit only a "Make2.*" file, and possibly md.h or
-md.cc.  All nonportabilities are confined to these files.
-
-It does require a recent and proper C++ compiler with a proper
-library, including STL.  Gnu compilers older than 2.8 will probably
-not work.  Anything else that old will also probably not work.  Any
-high quality C++ compiler available today should work.
-
-To install ....
-
-Just move or copy the executable to where you want it.
diff --git a/doc/Makefile.in b/doc/Makefile.in
deleted file mode 100644
index 260b45e..0000000
--- a/doc/Makefile.in
+++ /dev/null
@@ -1,424 +0,0 @@
-# Makefile.in generated by automake 1.11 from Makefile.am.
-# @configure_input@
-
-# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
-# 2003, 2004, 2005, 2006, 2007, 2008, 2009  Free Software Foundation,
-# Inc.
-# This Makefile.in is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# This program is distributed in the hope that it will be useful,
-# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
-# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
-# PARTICULAR PURPOSE.
-
- at SET_MAKE@
-
-VPATH = @srcdir@
-pkgdatadir = $(datadir)/@PACKAGE@
-pkgincludedir = $(includedir)/@PACKAGE@
-pkglibdir = $(libdir)/@PACKAGE@
-pkglibexecdir = $(libexecdir)/@PACKAGE@
-am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
-install_sh_DATA = $(install_sh) -c -m 644
-install_sh_PROGRAM = $(install_sh) -c
-install_sh_SCRIPT = $(install_sh) -c
-INSTALL_HEADER = $(INSTALL_DATA)
-transform = $(program_transform_name)
-NORMAL_INSTALL = :
-PRE_INSTALL = :
-POST_INSTALL = :
-NORMAL_UNINSTALL = :
-PRE_UNINSTALL = :
-POST_UNINSTALL = :
-subdir = doc
-DIST_COMMON = $(dist_man_MANS) $(dist_pkgdocs_DATA) \
-	$(srcdir)/Makefile.am $(srcdir)/Makefile.in COPYING INSTALL
-ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
-am__aclocal_m4_deps = $(top_srcdir)/configure.ac
-am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
-	$(ACLOCAL_M4)
-mkinstalldirs = $(install_sh) -d
-CONFIG_HEADER = $(top_builddir)/config.h
-CONFIG_CLEAN_FILES =
-CONFIG_CLEAN_VPATH_FILES =
-SOURCES =
-DIST_SOURCES =
-am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
-am__vpath_adj = case $$p in \
-    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
-    *) f=$$p;; \
-  esac;
-am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
-am__install_max = 40
-am__nobase_strip_setup = \
-  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
-am__nobase_strip = \
-  for p in $$list; do echo "$$p"; done | sed -e "s|$$srcdirstrip/||"
-am__nobase_list = $(am__nobase_strip_setup); \
-  for p in $$list; do echo "$$p $$p"; done | \
-  sed "s| $$srcdirstrip/| |;"' / .*\//!s/ .*/ ./; s,\( .*\)/[^/]*$$,\1,' | \
-  $(AWK) 'BEGIN { files["."] = "" } { files[$$2] = files[$$2] " " $$1; \
-    if (++n[$$2] == $(am__install_max)) \
-      { print $$2, files[$$2]; n[$$2] = 0; files[$$2] = "" } } \
-    END { for (dir in files) print dir, files[dir] }'
-am__base_list = \
-  sed '$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;s/\n/ /g' | \
-  sed '$$!N;$$!N;$$!N;$$!N;s/\n/ /g'
-man1dir = $(mandir)/man1
-am__installdirs = "$(DESTDIR)$(man1dir)" "$(DESTDIR)$(pkgdocsdir)"
-NROFF = nroff
-MANS = $(dist_man_MANS)
-DATA = $(dist_pkgdocs_DATA)
-DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
-ACLOCAL = @ACLOCAL@
-AMTAR = @AMTAR@
-AUTOCONF = @AUTOCONF@
-AUTOHEADER = @AUTOHEADER@
-AUTOMAKE = @AUTOMAKE@
-AWK = @AWK@
-CPPFLAGS = @CPPFLAGS@
-CXX = @CXX@
-CXXDEPMODE = @CXXDEPMODE@
-CXXFLAGS = @CXXFLAGS@
-CYGPATH_W = @CYGPATH_W@
-DEFS = @DEFS@
-DEPDIR = @DEPDIR@
-ECHO_C = @ECHO_C@
-ECHO_N = @ECHO_N@
-ECHO_T = @ECHO_T@
-EXEEXT = @EXEEXT@
-INSTALL = @INSTALL@
-INSTALL_DATA = @INSTALL_DATA@
-INSTALL_PROGRAM = @INSTALL_PROGRAM@
-INSTALL_SCRIPT = @INSTALL_SCRIPT@
-INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
-LDFLAGS = @LDFLAGS@
-LIBOBJS = @LIBOBJS@
-LIBS = @LIBS@
-LTLIBOBJS = @LTLIBOBJS@
-MAINT = @MAINT@
-MAKEINFO = @MAKEINFO@
-MKDIR_P = @MKDIR_P@
-MODELGEN = @MODELGEN@
-OBJEXT = @OBJEXT@
-PACKAGE = @PACKAGE@
-PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
-PACKAGE_NAME = @PACKAGE_NAME@
-PACKAGE_STRING = @PACKAGE_STRING@
-PACKAGE_TARNAME = @PACKAGE_TARNAME@
-PACKAGE_URL = @PACKAGE_URL@
-PACKAGE_VERSION = @PACKAGE_VERSION@
-PATH_SEPARATOR = @PATH_SEPARATOR@
-SET_MAKE = @SET_MAKE@
-SHELL = @SHELL@
-STRIP = @STRIP@
-VERSION = @VERSION@
-abs_builddir = @abs_builddir@
-abs_srcdir = @abs_srcdir@
-abs_top_builddir = @abs_top_builddir@
-abs_top_srcdir = @abs_top_srcdir@
-ac_ct_CXX = @ac_ct_CXX@
-am__include = @am__include@
-am__leading_dot = @am__leading_dot@
-am__quote = @am__quote@
-am__tar = @am__tar@
-am__untar = @am__untar@
-bindir = @bindir@
-build_alias = @build_alias@
-builddir = @builddir@
-datadir = @datadir@
-datarootdir = @datarootdir@
-docdir = @docdir@
-dvidir = @dvidir@
-exec_prefix = @exec_prefix@
-host_alias = @host_alias@
-htmldir = @htmldir@
-includedir = @includedir@
-infodir = @infodir@
-install_sh = @install_sh@
-libdir = @libdir@
-libexecdir = @libexecdir@
-localedir = @localedir@
-localstatedir = @localstatedir@
-mandir = @mandir@
-mkdir_p = @mkdir_p@
-oldincludedir = @oldincludedir@
-pdfdir = @pdfdir@
-prefix = @prefix@
-program_transform_name = @program_transform_name@
-psdir = @psdir@
-sbindir = @sbindir@
-sharedstatedir = @sharedstatedir@
-srcdir = @srcdir@
-sysconfdir = @sysconfdir@
-target_alias = @target_alias@
-top_build_prefix = @top_build_prefix@
-top_builddir = @top_builddir@
-top_srcdir = @top_srcdir@
-dist_man_MANS = gnucap.1 gnucap-ibis.1
-pkgdocsdir = ${pkgdatadir}/doc
-dist_pkgdocs_DATA = \
-	acs-tutorial COPYING history INSTALL relnotes.029 \
-	relnotes.030 relnotes.031 relnotes.032 relnotes.033 relnotes.034 whatisit 
-
-all: all-am
-
-.SUFFIXES:
-$(srcdir)/Makefile.in: @MAINTAINER_MODE_TRUE@ $(srcdir)/Makefile.am  $(am__configure_deps)
-	@for dep in $?; do \
-	  case '$(am__configure_deps)' in \
-	    *$$dep*) \
-	      ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
-	        && { if test -f $@; then exit 0; else break; fi; }; \
-	      exit 1;; \
-	  esac; \
-	done; \
-	echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu doc/Makefile'; \
-	$(am__cd) $(top_srcdir) && \
-	  $(AUTOMAKE) --gnu doc/Makefile
-.PRECIOUS: Makefile
-Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
-	@case '$?' in \
-	  *config.status*) \
-	    cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
-	  *) \
-	    echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
-	    cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
-	esac;
-
-$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
-	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
-
-$(top_srcdir)/configure: @MAINTAINER_MODE_TRUE@ $(am__configure_deps)
-	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
-$(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
-	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
-$(am__aclocal_m4_deps):
-install-man1: $(dist_man_MANS)
-	@$(NORMAL_INSTALL)
-	test -z "$(man1dir)" || $(MKDIR_P) "$(DESTDIR)$(man1dir)"
-	@list=''; test -n "$(man1dir)" || exit 0; \
-	{ for i in $$list; do echo "$$i"; done; \
-	l2='$(dist_man_MANS)'; for i in $$l2; do echo "$$i"; done | \
-	  sed -n '/\.1[a-z]*$$/p'; \
-	} | while read p; do \
-	  if test -f $$p; then d=; else d="$(srcdir)/"; fi; \
-	  echo "$$d$$p"; echo "$$p"; \
-	done | \
-	sed -e 'n;s,.*/,,;p;h;s,.*\.,,;s,^[^1][0-9a-z]*$$,1,;x' \
-	      -e 's,\.[0-9a-z]*$$,,;$(transform);G;s,\n,.,' | \
-	sed 'N;N;s,\n, ,g' | { \
-	list=; while read file base inst; do \
-	  if test "$$base" = "$$inst"; then list="$$list $$file"; else \
-	    echo " $(INSTALL_DATA) '$$file' '$(DESTDIR)$(man1dir)/$$inst'"; \
-	    $(INSTALL_DATA) "$$file" "$(DESTDIR)$(man1dir)/$$inst" || exit $$?; \
-	  fi; \
-	done; \
-	for i in $$list; do echo "$$i"; done | $(am__base_list) | \
-	while read files; do \
-	  test -z "$$files" || { \
-	    echo " $(INSTALL_DATA) $$files '$(DESTDIR)$(man1dir)'"; \
-	    $(INSTALL_DATA) $$files "$(DESTDIR)$(man1dir)" || exit $$?; }; \
-	done; }
-
-uninstall-man1:
-	@$(NORMAL_UNINSTALL)
-	@list=''; test -n "$(man1dir)" || exit 0; \
-	files=`{ for i in $$list; do echo "$$i"; done; \
-	l2='$(dist_man_MANS)'; for i in $$l2; do echo "$$i"; done | \
-	  sed -n '/\.1[a-z]*$$/p'; \
-	} | sed -e 's,.*/,,;h;s,.*\.,,;s,^[^1][0-9a-z]*$$,1,;x' \
-	      -e 's,\.[0-9a-z]*$$,,;$(transform);G;s,\n,.,'`; \
-	test -z "$$files" || { \
-	  echo " ( cd '$(DESTDIR)$(man1dir)' && rm -f" $$files ")"; \
-	  cd "$(DESTDIR)$(man1dir)" && rm -f $$files; }
-install-dist_pkgdocsDATA: $(dist_pkgdocs_DATA)
-	@$(NORMAL_INSTALL)
-	test -z "$(pkgdocsdir)" || $(MKDIR_P) "$(DESTDIR)$(pkgdocsdir)"
-	@list='$(dist_pkgdocs_DATA)'; test -n "$(pkgdocsdir)" || list=; \
-	for p in $$list; do \
-	  if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
-	  echo "$$d$$p"; \
-	done | $(am__base_list) | \
-	while read files; do \
-	  echo " $(INSTALL_DATA) $$files '$(DESTDIR)$(pkgdocsdir)'"; \
-	  $(INSTALL_DATA) $$files "$(DESTDIR)$(pkgdocsdir)" || exit $$?; \
-	done
-
-uninstall-dist_pkgdocsDATA:
-	@$(NORMAL_UNINSTALL)
-	@list='$(dist_pkgdocs_DATA)'; test -n "$(pkgdocsdir)" || list=; \
-	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
-	test -n "$$files" || exit 0; \
-	echo " ( cd '$(DESTDIR)$(pkgdocsdir)' && rm -f" $$files ")"; \
-	cd "$(DESTDIR)$(pkgdocsdir)" && rm -f $$files
-tags: TAGS
-TAGS:
-
-ctags: CTAGS
-CTAGS:
-
-
-distdir: $(DISTFILES)
-	@list='$(MANS)'; if test -n "$$list"; then \
-	  list=`for p in $$list; do \
-	    if test -f $$p; then d=; else d="$(srcdir)/"; fi; \
-	    if test -f "$$d$$p"; then echo "$$d$$p"; else :; fi; done`; \
-	  if test -n "$$list" && \
-	    grep 'ab help2man is required to generate this page' $$list >/dev/null; then \
-	    echo "error: found man pages containing the \`missing help2man' replacement text:" >&2; \
-	    grep -l 'ab help2man is required to generate this page' $$list | sed 's/^/         /' >&2; \
-	    echo "       to fix them, install help2man, remove and regenerate the man pages;" >&2; \
-	    echo "       typically \`make maintainer-clean' will remove them" >&2; \
-	    exit 1; \
-	  else :; fi; \
-	else :; fi
-	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
-	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
-	list='$(DISTFILES)'; \
-	  dist_files=`for file in $$list; do echo $$file; done | \
-	  sed -e "s|^$$srcdirstrip/||;t" \
-	      -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
-	case $$dist_files in \
-	  */*) $(MKDIR_P) `echo "$$dist_files" | \
-			   sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
-			   sort -u` ;; \
-	esac; \
-	for file in $$dist_files; do \
-	  if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
-	  if test -d $$d/$$file; then \
-	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
-	    if test -d "$(distdir)/$$file"; then \
-	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
-	    fi; \
-	    if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
-	      cp -fpR $(srcdir)/$$file "$(distdir)$$dir" || exit 1; \
-	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
-	    fi; \
-	    cp -fpR $$d/$$file "$(distdir)$$dir" || exit 1; \
-	  else \
-	    test -f "$(distdir)/$$file" \
-	    || cp -p $$d/$$file "$(distdir)/$$file" \
-	    || exit 1; \
-	  fi; \
-	done
-check-am: all-am
-check: check-am
-all-am: Makefile $(MANS) $(DATA)
-installdirs:
-	for dir in "$(DESTDIR)$(man1dir)" "$(DESTDIR)$(pkgdocsdir)"; do \
-	  test -z "$$dir" || $(MKDIR_P) "$$dir"; \
-	done
-install: install-am
-install-exec: install-exec-am
-install-data: install-data-am
-uninstall: uninstall-am
-
-install-am: all-am
-	@$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
-
-installcheck: installcheck-am
-install-strip:
-	$(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
-	  install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
-	  `test -z '$(STRIP)' || \
-	    echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
-mostlyclean-generic:
-
-clean-generic:
-
-distclean-generic:
-	-test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
-	-test . = "$(srcdir)" || test -z "$(CONFIG_CLEAN_VPATH_FILES)" || rm -f $(CONFIG_CLEAN_VPATH_FILES)
-
-maintainer-clean-generic:
-	@echo "This command is intended for maintainers to use"
-	@echo "it deletes files that may require special tools to rebuild."
-clean: clean-am
-
-clean-am: clean-generic mostlyclean-am
-
-distclean: distclean-am
-	-rm -f Makefile
-distclean-am: clean-am distclean-generic
-
-dvi: dvi-am
-
-dvi-am:
-
-html: html-am
-
-html-am:
-
-info: info-am
-
-info-am:
-
-install-data-am: install-dist_pkgdocsDATA install-man
-
-install-dvi: install-dvi-am
-
-install-dvi-am:
-
-install-exec-am:
-
-install-html: install-html-am
-
-install-html-am:
-
-install-info: install-info-am
-
-install-info-am:
-
-install-man: install-man1
-
-install-pdf: install-pdf-am
-
-install-pdf-am:
-
-install-ps: install-ps-am
-
-install-ps-am:
-
-installcheck-am:
-
-maintainer-clean: maintainer-clean-am
-	-rm -f Makefile
-maintainer-clean-am: distclean-am maintainer-clean-generic
-
-mostlyclean: mostlyclean-am
-
-mostlyclean-am: mostlyclean-generic
-
-pdf: pdf-am
-
-pdf-am:
-
-ps: ps-am
-
-ps-am:
-
-uninstall-am: uninstall-dist_pkgdocsDATA uninstall-man
-
-uninstall-man: uninstall-man1
-
-.MAKE: install-am install-strip
-
-.PHONY: all all-am check check-am clean clean-generic distclean \
-	distclean-generic distdir dvi dvi-am html html-am info info-am \
-	install install-am install-data install-data-am \
-	install-dist_pkgdocsDATA install-dvi install-dvi-am \
-	install-exec install-exec-am install-html install-html-am \
-	install-info install-info-am install-man install-man1 \
-	install-pdf install-pdf-am install-ps install-ps-am \
-	install-strip installcheck installcheck-am installdirs \
-	maintainer-clean maintainer-clean-generic mostlyclean \
-	mostlyclean-generic pdf pdf-am ps ps-am uninstall uninstall-am \
-	uninstall-dist_pkgdocsDATA uninstall-man uninstall-man1
-
-
-# Tell versions [3.59,3.63) of GNU make to not export all variables.
-# Otherwise a system limit (for SysV at least) may be exceeded.
-.NOEXPORT:
diff --git a/doc/acs-tutorial b/doc/acs-tutorial
deleted file mode 100644
index e2c4bc0..0000000
--- a/doc/acs-tutorial
+++ /dev/null
@@ -1,703 +0,0 @@
-Tutorial for acs V0.02
-Copyright 1999 Telford Tendys <telford at eng.uts.edu.au>
-
->>> Introduction
-
-This is not an alternative to reading the acs manual. The manual is
-very nicely presented in LaTeX and you should print it out and keep
-it handy when working with acs. This document is organised starting
-from easy and working towards difficult and presumes that you have some
-idea of what electrical circuits are but don't know much about simulators.
-The acs manual is organised in alphabetical order and in groups of concepts
-and it presumes that you know SPICE already.
-
->>> The basic concept of a nodal analysis.
-
-Using nodes is one way to describe a lumped element circuit.
-Lumped elements are used because there is no consideration of what is
-occuring inside a given component, only what is happening on the terminals
-of the component. For example, this analysis makes no attempt to find the
-voltage in the middle of a resistor, only at the ends of the resistor.
-
-The whole circuit model consists of ``nodes'' and ``components''.
-A node is (electrically speaking) a single point, it has exactly one voltage
-value at any given time value. A component will connect to two or more nodes
-(usually two) and represents some method by which the voltages at those nodes
-affect one another. Describing which components connect to which nodes will
-completely describe the structure of the circuit.
-
-One additional concept is a ``branch''. The current though a branch is the
-amount of current flowing from a node into a component that connects to that
-node. Some branch currents (such as the current through a voltage source)
-are explicitly calcualted, others (such as the current through a resistor) are
-implicitly calculated as a result of calculating the node voltages.
-
->>> The basic concept of a circuit file.
-
-Tradition has it that acs input files have the extension ``.ckt''. The
-format is similar to that used by version 2 of the SPICE simulator; it
-is a line oriented format with items separated by whitespace. The overall
-file structure is:
-
-	* Header line (first line of the file) contains the name of
-	  the circuit, traditionally in all capitals.
-
-	* blank lines do nothing
-
-	* comment lines are any line beginning with a star ``*''.
-	  These can be anywhere in the file except the header line.
-
-	* component lines begin with a letter and represent some component
-	  that is in the circuit. The order that components are listed
-	  does not matter because the topology is described by the nodes
-	  that the components are connected to.
-
-	* continuation lines begin with a plus ``+'' and continue the
-	  previous line so that long lines can be written neatly.
-
-	* command lines begin with a dot ``.'' and are not considered
-	  to be part of the circuit, they cause the simulator to execute
-	  some analysis or change some options. They are also used to
-	  delimit special parts of the file such as subcircuits.
-
->>> The simplest possible circuit file
-
---------------------------------------------------------------------- eg1.ckt
-RESISTOR DRIVEN BY VOLTAGE SOURCE
-
-Vsupply 0 2 10
-R1 0 2 1k
-----------------------------------------------------------------------
-
-This file defines a circuit containing two nodes and two components.
-The components have the names ``Vsupply'' and ``R1'', the first letter of
-the component name tells what that component is so ``Vsupply'' is a voltage
-source and ``R1'' is a resistor. Each component has a value, the voltage
-source is 10 volts and the resistor is 1000 ohms. The nodes have the
-numbers ``0'' and ``2''. Note that there is no node ``1'' but this doesn't
-matter, not every node number needs to be used. Like SPICE version 2 (but
-unlike version 3) nodes must be numbered in acs.
-
-In order to load this circuit into the simulator the following command
-would be used:
-
-	acs eg1.ckt
-
-What happens is that acs loads in the circuit, finds no commands to execute
-(since this file has no command lines) and so enters interactive mode with
-a ``-->'' prompt. From the interactive mode it is possible to exercise the
-circuit model, firstly by putting a probe on the node that needs measuring
-(using the print statement) then by starting the simulation:
-
-	print dc v(2)
-	dc
-
-Needless to say, ``dc'' is a steady state direct current analysis and
-the ``print'' command used here is saying, ``when you do a DC analysis,
-tell me the voltage at node 2''. The result should be -10 volts. At
-first glance, it might seem like it should be 10 volts... but (same as SPICE)
-the voltage sources are listed with their positive terminal first, then
-their negative terminal. Node 0 is the ground node (or reference node) which
-is always 0 volts so node 2 is at -10 volts. Wiring ``Vsupply'' the other way
-around would change the answer to 10 volts. Things get trickier when dealing
-with current sources where the node at the tail end of the current source
-arrow is listed first (and called ``+'') and the pointy head end of the arrow
-is listed second (and called ``-''). Although this convention may seem
-disorienting to someone who was brought up testing their circuits with
-batteries or a bench power supply and poking their meter probes into things,
-it is a well established SPICE convention and probably never going to change.
-
-However, the voltage of the node may not be the answer required. What about
-the current going through the source? We can move our probe to look at
-current instead:
-
-	print dc i(R1) i(Vsupply)
-	dc
-
-Now we can see 10 milliamps going through both components, note the sign
-convention when probing current; once more this is something that you must
-simply learn, you might draw diagrams of the main components and mark the
-important conventions of [+] terminal, [-] terminal and current flow.
-You might also realise that this convention does have its own logical
-consistency which makes it easier to remember. For example, consider a
-resistor: the terminal called [+] is listed first and the internal current
-flow is positive when current flows into the [+] terminal, through the
-resistor and out of the [-] terminal. In the case of a resistor this sounds
-quite sensible because the [+] terminal WOULD be more positive when
-the current flows in this manner. The sources merely maintain the same
-convention as is applied to a resistor, what is important to remember is
-that [+] and [-] terminals are just names that provide a sign convention
-they don't insist that one terminal is a higher voltage than the other.
-
-This example should provide enough information for analysis of any network
-of voltage sources and resistors and for inspection of any current or voltage
-in such a circuit. The power and resistance of each component can be measured:
-
-	print dc p(R1) p(Vsupply) r(R1) r(Vsupply)
-	dc
-	quit
-
-Note that the supply shows negative power to it is putting power into
-the system while the resistor shows positive power meaning that it is
-taking power out of the system. Also notice that the resistance measurement
-will attempt to find the resistance of the source without error but the
-resulting value is huge (this seems wrong, I would expect it to be zero).
-
->>> Networks of Resistors and Sources 
-
-The previous example covers enough concepts to model arbitrarily complex
-networks of resistors and sources. These are essentially linear circuits
-that have no relation to time. A more elaborate example is presented below:
-
---------------------------------------------------------------------- eg2.ckt
-NETWORK OF RESISTORS AND VOLTAGE SOURCES
-
-V1	2	1	10
-V2	4	3	5
-V3	0	3	3
-R1	1	2	220
-R2	2	3	4.7k
-R3	4	5	3k3
-R4	3	5	10k
-R5	0	1	22k
-R6	0	5	15k
-----------------------------------------------------------------------
-
-Run this with:
-
-	acs eg2.ckt
-	print dc v(1) v(2) v(3) v(4) v(5)	
-	dc
-	quit
-
-Note that this is about the limit of what can be done with these two
-components. Other components that offer further possibilities are
-the current source (any component with a name that begins with ``I''
-is a current source) and the dependent sources:
-
-	first letter	output		input
-	of name		type		type
-	-----------------------------------------
-	E		voltage		voltage
-	F		current		current
-	G		current		voltage
-	H		voltage		current
-	-----------------------------------------
-
-Each of these has a gain value expressing the relation between its
-output and its input and they allow the modeling of linear amplifiers
-and other such devices. As mentioned above, none of these components
-understand time nor can they be used to represent a nonlinear device.
-Thus, any network constructed from the components that have been seen
-so far will be reducible to a Thevenin or Norton equivalent circuit
-when considered from the point of view of one particular node and the
-ground node 0.
-
---------------------------------------------------------------------- eg3.ckt
-NETWORK OF RESISTORS AND DEPENDENT SOURCES
-*
-* Reduce this complicated collection of dependencies
-* down to a single Thevenin equivalent between node 2 and
-* the ground node 0
-*
-I1 1 4 2
-V1 1 0 5
-E1 5 2 1 3 0.4
-F1 5 6 R1 3e-2
-G1 2 3 4 6 1.3
-H1 3 0 R3 1
-R1 4 5 2.2
-R2 1 2 470
-R3 0 2 330
-R4 3 6 1k
-R5 5 6 1e4
-*
-* Look at the voltage at node 2 and the impedance looking into node 2
-*
-.print dc v(2) z(2)
-.dc
-.end
-----------------------------------------------------------------------
-
-Notice that this example file contains some lines that begin with a dot.
-These are command lines and behave exactly like the commands you type
-in interactive mode. These command lines are dotted because of the old
-SPICE tradition of executing all of the component lines first and then
-the command lines, acs doesn't bother with this, it executes every line
-in the order that it sees them, but it still follows the old idea of dotting
-the command lines as a little tribute to SPICE and to make it easier to
-see what is going on when you read a .ckt file.
-
-When you run this example, you might try:
-
-	acs eg3.ckt
-
-And (all going well) you will see that node 2 is equivalent to a
-source of 54.343 volts in series with an 0.83888 ohm resistor.
-You should also notice that acs never goes into interactive command mode.
-This is because of the ``.end'' command that tells acs to finish at this point.
-You may want to use this example circuit in interactive mode, to achieve this
-you could either delete the .end command, or (from the system prompt) type:
-
-	acs
-	get eg3.ckt
-
-Then you can use other interactive commands. Note that you can modify the
-circuit interactively too. Consider adding another resistor by typing the
-following at the acs interactive prompt:
-
-	build R3
-	R6 3 4 12k
-	<blank line>
-
-Which allows you to adjust the topology of the circuit in memory. This 
-includes adding components and modifying existing components. You can
-interactively remove components from the circuit using the ``delete'' command
-or you can wipe out the entire circuit using the ``clear'' command.
-To put the adjusted topology into a file you use the save command:
-
-	save eg3_mod.ckt
-	quit
-	cat eg3_mod.ckt
-
-Looking at what you have saved you will probably notice a few things:
-firstly, acs has remembered your comment lines and command lines and
-saved them too; secondly, your extra line was inserted into the file
-before the line containing component ``R3'', this is caused by the
-argument on the ``build'' command and allows you to insert your build
-lines where you want them.
-
->>> Things That Can Go Wrong
-
---------------------------------------------------------------------- eg4.ckt
-VOLTAGE SOURCES IN PARALLEL
-
-V1 1 0 10.0
-V2 1 0 10.2
-.print dc v(1) i(V1) i(V2)
-.dc
-.end
-----------------------------------------------------------------------
-
-Here we have V1 and V2 both driving the same node at about 10 volts.
-Actually, V2 is very slightly higher than 10 volts so there will be
-some argument between V1 and V2 as to exactly what the final voltage
-at node 1 really is. You should see that huge currents are flowing
-through the supplies (10,000 amps) just due to this small voltage
-difference. Also note that acs does not throw in the towel and give
-up, nor does it fail to converge... the answer that it gets for v(1)
-is a compromise, halfway between the two sources.
-
-What is does is introduce a slight imperfection in the voltage sources
-so that they do have a small internal series resistor. This allows it
-to make the best guess that it can in a difficult situation. How much is
-this resistance? You can find out like so:
-
-	acs
-	options
-
-Look at the value of the option called ``short'' (near the middle of
-the block of options), this is the value (in ohms) of the internal
-resistance of a voltage source. The ``u'' character means ``micro''
-or 1e-6 so the default value of a short circuit is 1e-5 ohms. You
-might decide that a different short circuit value is more appropriate
-for running the above circuit so you can type (from the acs prompt):
-
-	options short=0.5
-	get eg4.ckt
-	dc
-	exit
-
-Which should show you the same voltage (10.1) but now the current
-has reduced to only one fifth of an Amp (still not small but a lot
-more reasonable if you were building this with real supplies).
-Other option values can be altered in much the same way and input
-files can contain ``.options'' command lines in order to set these
-options whenever the circuit is loaded.
-
---------------------------------------------------------------------- eg5.ckt
-CURRENT SOURCES IN SERIES
-
-I1 0 1 2.0001
-I2 1 0 2.0
-.print dc v(1) i(I1) i(I2)
-.dc
-.end
-----------------------------------------------------------------------
-
-The case of putting two current sources in series is much the same
-concept as two voltage sources in parallel. However notice that acs
-copes with it in a different manner. It cannot find a compromise current
-that is partway between the two sources and it always gives a huge
-value for the voltage at node 1. At least it doesn't crash and it does
-give results that give some suggestion as to where the problem might
-be. There is no option that introduces resistance into a current
-source but you can explicitly add these resistors if you like by putting
-the resistor in parallel with the current source.
-
-What if you had a big, complex circuit, you messed up by putting
-two current sources in series but you never thought about checking
-the strange node? How would you ever know that the circuit was broken?
-Try this exercise:
-
-	acs
-	get eg5.ckt
-	alarm dc v(*)(-1e3,1e3)
-	dc
-	quit
-
-Now you get a warning whenever any component gets more that 1000 volts
-across it. This can be used to test component breakdown if you know
-that you are using components that cannot tolerate high voltages. It can
-also be used to ensure that your simulated circuit stays within what you
-might expect to be the absolute maximum values.
-
->>> Nonlinear Devices -- Diodes
-
-All of the previous circuits have been linear. This is to say that all
-the devices (voltage sources, current sources, dependent source and
-resistors) are linear devices and the overall ``shape'' of the problem
-does not change as the values of the system are scaled up or down.
-For example, if a circuit is solved once, then after that all of the voltage
-sources in the circuit are doubled, the circuit doesn't need to be solved
-a second time because all the node voltages will merely be double those
-of the first solution. Try it yourself if you disbelieve.
-
-Linear circuits also obey the principle of ``superposition'' which is
-to say that the circuit can be solved for each source separately and
-then all of those solutions can be added up to get the solution of a
-circuit containing many sources. A textbook in basic circuit theory will
-explain superposition in linear circuits and you can try working through
-the textbook examples on the simulator using what has been explained so far.
-
-At this point, we take the step into nonlinear circuits which do NOT
-obey superposition and do NOT scale. The most elementary nonlinear
-component is a diode.
-
---------------------------------------------------------------------- eg6.ckt
-DIODE CASCADE
-
-.model 1N414 D IS=2e-14
-
-Vcc  1   0   5
-Dx   1  10   1N414
-Dy  10  20   1N414
-Dz  20  30   1N414
-Rd1 10   0   1k
-Rd2 20   0   1k
-Rd3 30   0   1k
-
-.print dc v(10) v(20) v(30)
-.dc Vcc 0 5 0.5 >eg6.dat
-.end
-----------------------------------------------------------------------
-
-You can run this example and look at the results like so:
-
-	acs eg6.ckt
-	gnuplot
-	set data style lines
-	plot 'eg6.dat' using 1:2, 'eg6.dat' using 1:3, 'eg6.dat' using 1:4
-	exit
-
-You may not like using gnuplot and may prefer some other plotting program
-such as gwave or gle. Acs output can be used by most plotting programs
-in much the same manner as above by using the redirection arrow on the
-command that runs the simulation (``dc'' in this case). Note that it usually
-won't work to redirect the normal output to a file using your shell and then
-cut and paste that output into your plotting program because the normal output
-does not use standard scientific notation, using the internal redirection
-option provided by acs also guarantees you get a nice, portable data file
-in standard exponential notation.
-
-If the above did work you should have been able to see the node voltages
-as a function of supply voltage and see the diodes move into their conductive
-band one by one. And see the traditional 0.7 volt drop across each diode.
-However, various diodes behave differently so acs needs to know what sort
-of diode you are using. That is what the ``.model'' command line is doing
-for you -- it associated parameters in the diode model with a name that
-you choose to assign to your diodes. (By the way, I have no idea what the
-true measured parameters are for a real 1N414).
-
->>> Multiplying Two Voltages Using Diode Nonlinearity
-
-The above example shows diode voltage drop behaviour but the diode can
-also be used as an exponential function. In this example, a group of
-diodes are used to construct a voltage multiplier. Most circuit components
-add and subtract voltages and currents but multiplication is a bit special.
-What is done in this circuit is to use the exponential behaviour of the
-diodes to take the logarithm of two input voltages, then add those up and
-use another diode to find the exponential of the sum. This works in the same
-way as a slide rule does.
-
---------------------------------------------------------------------- eg7.ckt
-MULTILPLY TWO NUMBERS
-
-.subckt multiplier 1 2 3
-*                        1 = input A (voltage)
-*                        2 = input B (voltage)
-*                        3 = output  (voltage)
-* Note that there are scaling factors on inputs and output to keep
-* diodes in the exponential region.
-.model dexp D EG=0 CJ=0 FC=0 gparallel=0
-G1 0 4 1 0 1e-3
-D1 4 0 dexp
-G2 0 5 2 0 1e-3
-D2 5 0 dexp
-I3 0 6 1
-D3 6 0 dexp
-E1 7 0 4 0 1
-E2 8 7 5 0 1
-E3 8 9 6 0 1
-V1 9 10 0
-D4 10 0 dexp
-H1 3 0 V1 1e6
-.ends
-
-V1 1 0 0
-V2 2 0 0.5
-X1 1 2 3 multiplier
-R1 3 0 1
-
-.options vmin=-1e5 vmax=1e5
-.print dc V(3) V(2) V(E1.X1) V(E2.X1) V(E3.X1)
-.!rm eg7_1.dat eg7_2.dat eg7_3.dat
-.dc v1 0 1 0.01 > eg7_1.dat QUIET
-.modify V2=100
-.dc v1 0 1 0.01 > eg7_2.dat QUIET
-.dc v1 0 1000 1 > eg7_3.dat QUIET
-.end
----------------------------------------------------------------------
-
-This example does not attempt to go beyond the multiplication of two
-numbers, using the DC sweep to test a few ranges of the inputs.
-The output files can be plotted to check the linearity of the outputs.
-If you wanted to build a real circuit to perform analog multiplication,
-you would need something a lot more complex than the above example
-because the dependent voltage and current sources used in this example
-would not be possible to construct in a real circuit.
-
-Even with those ideal simulator components available, this example will
-still only multiply correctly within a limited range. Using it outside
-that range requires adjustment of the input and output scaling factors
-so that the diodes themselves stay close to exponential functions.
-
-This example introduces the concept of a subcircuit which is like a macro
-facility for circuit simulation. The subcircuit is contained between the
-``.subckt'' and ``.ends'' lines and nodes within the subcircuit can use their
-own numbering, independent of the outside world. The subcircuit gets a
-name (in this case ``multiplier'') and the component ``X1'' becomes an 
-instance of that subcircuit. Note the way that probes can be put on devices
-inside the subcircuit, for example ``E1.X1'' refers to the sub-component
-named ``E1'' inside the subcircuit ``X1''.
-
-Another new command here is ``.modify''. In this example, we want to test
-the multiplier on a few DC sweeps but want to change the value of ``V2''
-between the sweeps. This allows a single batch run to test multiple
-possibilities, or it can also be used interactively to trim a component
-value into the value that gives the desired operating point.
-
->>> A Matter of Time -- AC Analysis
-
-So far the only type of analysis that has been studied has been steady
-state direct current (or DC). Although a lot can be done with this, it
-doesn't give you the full picture when your circuit contains capacitors
-and inductors. These are important devices because they can store energy
-and because they determine what the time constants of the circuit will
-be. Time constants have no meaning in steady state DC analysis but the
-effect of the time constant can be seen by using AC analysis. This allows
-us to study filter circuits.
-
-This example looks at a simple passive filter that is driven by a
-single voltage source and that contains resistors, capacitors and
-inductors. This concept could be extended to active filters by using
-the dependent sources described above or by building op-amp models
-but for the sake of simplicity passive components are used here.
-
-eg8 --
-passive 2-port network, use AC sweep to generate bode plot
-and explain break-points, etc. Explain log plots and why bode is
-plotted on log-log paper. Explain decibel scale (briefly).
-
-Very brief explanation of feedback stability.
-
-eg9 --
-
-
-[explain AC analysis here with some bode plots and pole-zero stuff,
-can acs do root-locus?]
-
-two examples here eg8.ckt, eg9.ckt
-
-AC Analysis has the limitation that it is a LINEAR analysis only.
-This means that the nonlinear devices (such as diodes) must be regarded
-as behaving like linear devices. In order to achieve this, acs finds
-the DC operating point first and then presumes that the AC signal is
-some small value that will slightly perturb the DC operating point
-but will not be large enough for any nonlinear effect to be visible.
-
-Note that circuits that depend on their nonlinearity to extract some
-feature from the input signal (especially circuits containing diodes)
-will not behave the same under AC analysis as they might on the test bench.
-
->>> Using the Generator in Transient Analysis
-
-At last, we are ready to look at some time domain analysis. Time domain
-means as it happens in time and is also called ``transient analysis''.
-The outputs should be the same as you would see looking into an oscilloscope
-if you were testing a real circuit.
-
-This is a simple circuit showing how to calculate a real result for a simple
-real life problem. The problem is to magnetise some permanent magnets using an
-electromagnetic coil. Ignoring the physical side of the setup and considering
-only the electronics, we have an coil which consists of a resistor in
-series with an inductor, this is being driven by a sinusoidal supply but the
-supply is only switched on for half a cycle.
-
-Here in Australia, the mains supply is 240V RMS AC at 50Hz. Thus, one half
-cycle lasts for 10 miliseconds. The ``generator'' device provided by acs is
-the ideal thing to create a pulse such as this.
-
---------------------------------------------------------------------- eg10.ckt
-MAINS PULSE HITS MAGNETISING RIG
-V1 1 0 generator 1
-R1 0 2 850m
-L1 1 2 6mH
-.options vmin=-1e5 vmax=1e5
-.generator freq=50 width=10m ampl=339.4
-.print tran I(L1) V(1)
-.op
-.tran 1e-4 30e-3 >eg10.dat
-.end
-----------------------------------------------------------------------
-
-This can be run in batch mode and the output will be in plottable format
-in the file ``eg10.dat''. Looking at the plot, it is easy to see the peak
-current of around 250 Amps (needless to say, don't try the practical experiment
-at home unless you can handle such surges). Also note the shape of the current
-pulse is a little bit like an exponential decay and a little bit like a sine
-wave.
-
-You might notice a number of new commands in the above example. Firstly,
-the word ``generator'' appears in two places. The output end of the generator
-appears in the specification of V1. When used like this, the generator function
-is being invoked and the only parameter this function has is a scaling factor
-(in this case 1 for simplicity). Later n the generator command is invoked on
-a command line (i.e. begins with dot) and this has a bunch of parameters
-(three of which are used in this example). It is important not to get confused
-between the generator function and the generator command, the name is the same
-but the syntax is different and they are used in different places.
-
-As well as the generator, some options are being changed. These options are the
-maximum and minimum voltages that act as limits for convergence purposes. The
-default values are suitable for typical amplifier circuits but if you want to
-work with higher voltages (such as power circuits) then you must explicitly
-tell the simulator by adjusting these options. You can try the above circuit
-with lower values for these or with the default values and see that it does
-alter the output calculation. Currently, there is no warning system to tell
-you something is wrong so make sure you set them comfortably higher than any
-voltage you expect to encounter in the circuit.
-
-Now there is the transient analysis itself. This analysis works by taking time
-steps and you must specify how small you want these steps to be and how long
-the analysis should run for. The output redirection option is the same as
-for other analyses. One special point about the transient analysis is that you
-must run an ``op'' analysis first in order to establish the initial conditions.
-In the example it really is not very important but in other cases it can make
-a significant difference to transient behaviour.
-
---------------------------------------------------------------------- eg11.ckt
-CAPACITOR PULSE FOR MAGNETISING RIG
-.model switch SW VT=150 VH=150 RON=0.1 ROFF=1Meg
-.model diode D
-V1 1 0 340
-R1 1 2 500
-C1 2 0 2000u IC=299.8
-S1 2 3 2 0 switch OFF
-R2 3 4 850m
-L1 4 0 6mH IC=0
-D1 5 3 diode
-R3 5 0 5
-C2 5 0 200u
-.options vmin=-1e5 vmax=1e5
-.print tran I(L1) V(2) V(3) V(5)
-.tran 0 20e-3 1e-6 >eg11.dat UIC QUIET
-.end
-----------------------------------------------------------------------
-
-This example shows another approach to generating a magnetising pulse --
-discharge a capacitor into the coil, depending on the capacitor as a high
-current source. This also uses some new components and different techniques.
-Firstly, the coil is still modeled using an inductor in series with a
-resistor (in this case L1 and R2). The main energy storage capacitor (C1) is
-fed from a 340V DC source through resistor R1, the value of R1 is such that
-C1 slowly charges. Since the full charge cycle of C1 is boring, we just tell
-the simulator that C1 is almost fully charged.
-
-To achieve this the option, ``IC=299.8'' is used which implies that the initial
-condition of C1 is to be charged up to 299.8 volts. Similarly, L1 is also given
-an initial contition, ``IC=0'', which is to say that at time 0 there is no
-current flowing in the inductor. Thanks to these initial condition
-specifications, we don't need to run an ``.op'' operating point analysis
-prior to the transient analysis. We do, however, need to specify ``UIC''
-on the transient analysis command where UIC means ``Use Initial Conditions''.
-
-The result is that the simulation shows only the last bit of the slow charge
-through R1 until the capacitor reaches 300 volts and the switch activates.
-
-There are a few more special things about this circuit. Consider the component
-S1 that connects the capacitor to the coil. This component is a voltage
-controlled switch. The parameters for the switch are given in the .model
-command, defining a model called (rather unimaginatively) ``switch''. This
-defines what the ``ON'' resistance of the switch is and what the ``OFF''
-resistance is as well as the thresholds for changing state ON to OFF and
-OFF to ON. Many devices such as MOSFETs, relays and optocouplers can
-be approximated by a switch -- admittedly it is an idealised representation,
-but that may be desirable in some situations and at least acceptable in others.
-Note that the switch too is given an initial condition (OFF).
-
-Run the simulation in batch mode as follows:
-
-	acs eg19.ckt
-	gnuplot
-	set data style lines
-	plot 'eg11.dat', 'eg11.dat' using 1:3, 'eg11.dat' using 1:4
-	replot 'eg11.dat' using 1:5
-	exit
-
-Since this run produces rather a lot of output, the ``Quiet'' option is
-added to the transient analysis in order to avoid excessive scrolling.
-It should be evident that the voltage in C1 slowly charges up to 300 volts
-while the switch stays open and nothing much else happens. Then the switch
-shuts and the C1 voltage starts to fall while the current in L1 rises and
-the energy is transfered from the capacitor to the inductor. With a resistance
-of 100 miliohms in the switch and another 850 miliohms in the coil, some
-of the energy is lost to heat and the inductor reaches peak current (approx
-120A) before the capacitor is fully discharged -- ideally the switch could be
-opened there but in this case the switch is programed to open when the
-capacitor voltage reaches zero.
-
-Finally the capacitor voltage does reach zero and the switch opens. This leaves
-a noticable kink in the plot of the inductor current and it starts the
-inductor energy transfering into C2 and R3 through D1. Finally all the energy
-is dumped into R3 as heat. An interesting thing happens when the inductor
-current reaches zero and D1 goes back into reverse bias -- the voltage at node
-3 goes into a brief high frequency oscillation. Is the simulator playing up
-here? What is going on?
-
-Actually, this is correct behaviour as the last tiny burst of energy in
-L1 has nowhere to go, it sets up an oscillation between the junction
-capacitance in D1 and the inductance of L1. This is a property of using
-diodes to switch a coil and does occur in real circuits too. The simulator
-does not know the junction capacitance of D1 because it has not been specified
-in the ``.model'' line (actually with no junction capacitance specified,
-acs drops the capacitor out of the diode model completely) so the oscillation
-flips back and forth with each time step and the magnitude of the swing
-at node 3 is dependent on the size of the timestep used (try a longer timestep
-and see that node 3 shows a larger voltage swing at this point).
-
-In this case, the inductor current is the result of interest but if it
-were necessary to know exactly how node 3 behaved at the end of the pulse
-then more care would be required in determining the capacitance at work
-around node 3 (in particular the diode and switch behaviour).
-
diff --git a/doc/gnucap-ibis.1 b/doc/gnucap-ibis.1
deleted file mode 100644
index 8f567cc..0000000
--- a/doc/gnucap-ibis.1
+++ /dev/null
@@ -1,72 +0,0 @@
-.\" Hey, Emacs!  This is an -*- nroff -*- source file.
-.TH GNUCAP-IBIS 1 "November 2001" "Debian Project" "Debian GNU"
-
-.SH NAME
-gnucap-ibis \- GNU Circuit Analysis Package IBIS translator
-.SH SYNOPSIS
-.B gnucap-ibis
-[\fB--typ\fP] [\fB--min\fP] [\fB--max\fP] [\fB--power-on\fP] [\fB--on\fP] [\fB--off\fP] filename [\fB--dump\fP [filename]] [\fB--spice\fP [filename]]
-.br
-.SH DESCRIPTION
-.I GNUCAP-IBIS 
-is a IBIS translator tool in the GNUCAP suite. It will transform IBIS models
-into SPICE models for use in SPICE simulations.
-.PP
-The IBIS model in \fIfilename\fP is being parsed and can be translated to
-whatever format is requested. By using the \fB--spice\fP option a SPICE model
-can be generated. By using the \fB--dump\fP option a IBIS dump may be performed
-(mainly for testing purposes). A typical usage can be
-
-gnucap-ibis mymodel.ibis -spice
-
-.SH OPTIONS
-
-.TP
-\fI--typ\fI
-sets simulation mode to typical values (default)
-.TP
-\fI--min\fI
-sets simulation mode to minimal values
-.TP
-\fI--max\fI
-sets simulation mode to maximal values
-.TP
-\fI--power-on\fI
-sets device state to power on
-.TP
-\fI--on\fI
-sets device state to on
-.TP
-\fI--off\fI
-sets device state to off
-.TP
-\fI--prefix prefix\fI
-sets the prefix on generated code (default no prefix)
-.TP
-\fI--dump [filename]\fI
-enable the dumping of the interprented IBIS file in IBIS format. Optionally an
-output filename may be given (default filename is incomming filename with .dump
-postfix)
-.TP
-\fI--spice [filename]\fI
-enable the dumping of a SPICE model. Optionally an output filename may be given
-(default filename is incomming filename . mode .ckt).
-
-.SH BUGS
-See 
-.B /usr/share/doc/gnucap/bugs 
-for a list of known bugs in this release.
-.PP
-GNUCAP is an ongoing research project.  It is being released in a
-preliminary phase in hopes that it will be useful and that others
-will use it as a thrust or base for their research.  I also hope
-for some comments that may help me direct my research.
-
-.SH AUTHOR
-GNUCAP is being written by Albert Davis <aldavis at ieee.org>
-.PP
-This manual page was written by Magnus Danielson <cfmd at bredband.net> and
-maintained by Hamish Moffatt <hamish at debian.org> for the Debian package of 
-gnucap. 
-For the full LaTeX documentation, please see 
-.B /usr/share/doc/gnucap/manual.
diff --git a/doc/gnucap.1 b/doc/gnucap.1
deleted file mode 100644
index c47d3fb..0000000
--- a/doc/gnucap.1
+++ /dev/null
@@ -1,73 +0,0 @@
-.\" Hey, Emacs!  This is an -*- nroff -*- source file.
-.TH GNUCAP 1 "November 2001" "Debian Project" "Debian GNU"
-
-.SH NAME
-gnucap \- GNU Circuit Analysis Package
-.SH SYNOPSIS
-.B gnucap
-[\fB-b\fP filename] [\fB-i\fP filename] 
-.br
-.SH DESCRIPTION
-.I GNUCAP 
-is a general purpose circuit simulator.  It performs nonlinear
-dc and transient analyses, fourier analysis, and ac analysis
-linearized at an operating point.  It is fully interactive and
-command driven.  It can also be run in batch mode or as a server.
-The output is produced as it simulates.  Spice compatible models
-for the MOSFET (level 1,2,3) and diode are included in this
-release.
-.PP
-Since it is fully interactive, it is possible to make changes and
-re-simulate quickly.  The interactive design makes it well suited
-to the typical iterative design process used it optimizing a circuit
-design.  It is also well suited to undergraduate teaching where
-Spice in batch mode can be quite intimidating.  This version, while
-still officially in beta test, should be stable enough for basic
-undergraduate teaching and courses in MOS design, but not for
-bipolar design.
-.PP
-In batch mode it is mostly Spice compatible, so it is often possible
-to use the same file for both GNUCAP and Spice.
-.PP
-The analog simulation is based on traditional nodal analysis with
-iteration by Newton's method and LU decomposition.  An event queue
-and incremental matrix update speed up the solution for large
-circuits (at some expense for small circuits).
-.PP
-It also has digital devices for mixed signal simulation.  The
-digital devices may be implemented as either analog subcircuits or
-as true digital models.  The simulator will automatically determine
-which to use.  Networks of digital devices are simulated as digital,
-with no conversions to analog between gates.  This results in
-digital circuits being simulated faster than on a typical analog
-simulator, even with behavioral models.  The digital mode is
-experimental and needs work.  There will be substantial improvements
-in future releases.
-
-.SH OPTIONS
-Two command-line options are available. Only one of these may be
-specified. If no parameters are given, gnucap will start in interactive
-mode.
-.TP
-\fI-b filename\fP
-execute the commands in the named file then exit (batch mode)
-.TP
-\fI-i filename\fI
-execute the commands in the named file then go into interactive mode
-.SH BUGS
-See 
-.B /usr/share/doc/gnucap/bugs 
-for a list of known bugs in this release.
-.PP
-GNUCAP is an ongoing research project.  It is being released in a
-preliminary phase in hopes that it will be useful and that others
-will use it as a thrust or base for their research.  I also hope
-for some comments that may help me direct my research.
-
-.SH AUTHOR
-GNUCAP is being written by Albert Davis <aldavis at ieee.org>
-.PP
-This manual page was written by Jon Rabone <jkr at debian.org> and maintained
-by Hamish Moffatt <hamish at debian.org> for the Debian package of gnucap. 
-For the full LaTeX documentation, please see 
-.B /usr/share/doc/gnucap/manual.
diff --git a/doc/history b/doc/history
deleted file mode 100644
index eced60e..0000000
--- a/doc/history
+++ /dev/null
@@ -1,1061 +0,0 @@
-Gnucap revision history
- ------------------------------------------------------------------
- ------------------------------------------------------------------
-Gnucap 0.34 release notes  (02/01/2004)
-
-This is a bug fix and compatibility release.
-
-1. Fix bug causing incorrect interpolation of backwards tables.
-            
-2. Fix tanh overflow bug.
-            
-3. Fix some parsing bugs.
-            
-4. Fix occasional "double load" bug.
-            
-5. Fix AC sweep with one point.
-            
-6. Transient start time really works.
-            
-7. Fix occasional assert fail after option short is changed.
-            
-8. Fix memory leak resulting from failure to delete unused common.
-
-9. Fix a Z probe bug that sometimes gave wrong answers.
-
-10. Fix a limiting bug that sometimes caused non-convergence.
-
-11. Configure handles isnan.
-
-12. Improvements to logic initialization.  It is still not correct.        
- ------------------------------------------------------------------
-Gnucap 0.33 release notes  (01/12/2003)
-
-This is a bug fix and compatibility release.
-
-0.32 was not widely distributed due to password problems and a heavy
-work load, so the release notes are repeated after the current ones.
-
-New features:
-
-1. Add inductance probes, like capacitor.
-
-Bug fixes:
-
-1. Fix xprobe duplicate default arg bug - shows in g++3.2.
-
-2. Fix bug that sometimes caused a crash when changing a model after
-analysis.
-
-3. Fix bug that caused an assert to fail (debug build) after removing
-a probe from an element.
-
-4. Fix a dumb typo hack bug ddHAS_READLINE.  Now history and command
-line editing really works.  It was working, but somehow the hack
-slipped into the release code.
- ------------------------------------------------------------------
-Gnucap 0.32 release notes  (09/30/2002)
-
-New features:
-
-1. Series resistance in the diode.  It took 5 minutes to do,
-so it is embarrasing that it wasn't done before.
-
-2. History and command line editing, using Gnu Readline.  Thanks to
-Simon Hoffe for sending me the patch.
-
-3. More parameters in the BJT model.  This gives it better
-compatibility with commercial simulators.  These parameters are beyond
-Spice 3f5.
-
-4. "M" parameter in diode, BJT and MOS devices.  M is the number of
-parallel devices.  Some commercial simulators have this.
-
-
-
-Changes that may or may not be improvements.
-
-1. The definition of the transient option "UIC" has changed.  It is
-now Spice compatible, which means to not attempt to do any solution or
-consistency check.  Just apply the values, assuming anything that
-isn't specified is 0.  The old behavior was to attempt a solution
-while holding the IC values.
- 
-
-Bug fixes:
-
-1. voltage sync bug.  It still doesn't fix the MOS 2 convergence
-problem.
-
-2. Fix memory leak in POLY components.
-
-3. Fix bug in Fourier that sometimes causes overrun (crash) and time
-sync errors.
-
-4. Modelgen: fix bug in list parsing.
-
-5. Some changes to eliminate warnings when compiling with g++ 3.1.
-
-6. Use Euler differentiation on first step, because trap used a value
-that cannot be known then.  Usually, this doesn't make much
-difference, but there are a few cases where the error can get
-magnified and trigger trapezoidal ringing, leading to a totally bogus
-result.  It most cases, you could hide it with small enough steps.
-These cases should work with default settings now.
-
-7. Fix bug that sometimes caused incorrect handling of initial
-conditions (UIC),
-
-8. Fix bug that caused continuing a transient analysis to give
-incorrect results.
-
-
-
-Significant internal changes:
-
-1. The inductor uses all of the same support functions as the
-capacitor, including "integrate", which is now correctly called
-"differentiate".
-
-2. Most of the code is in place for named nodes.  It mostly works and
-can be turned on with the option "namednodes".  It is off by default
-because it is not complete.  Most likely, it will be finished in the
-next release.
-
-
-
-Some things that are still partially implemented:
-
-1. BSIM models, charge effects, "alpha0" parameter.  (computed then
-ignored)
-
-2. Configure still doesn't handle everything.
-
-3. The model compiler still requires too much raw coding.
-
-4. Named nodes.  If you set the option "namednodes", it will support
-named nodes, but some things don't work, so it is off by default.
-
-5. The preliminary IBIS code is now included.  For now, it is a
-standalone executable, that reads an IBIS file and generates a
-netlist.  The netlist requires some editing to use, and is not fully
-compatible anyway.  It is included in hopes of recruiting help in
-finishing the project.
-
-
-
-Bugs (nothing new, but needs repeating):
-
-1. The transmission line initial conditions are not propagated until
-the transient analysis runs.
-
-2. An occasional bogus calculation in MOSFETS occurs when a device is
-reversed.  This sometimes causes nonconvergence.
-
-3. The "modify" command with multiple arguments seems to take only the
-first one.  It used to work, but is broken in this release.  I am not
-sure when it broke.
- ------------------------------------------------------------------
-Gnucap 0.31 release notes  (03/25/2002)
-
-The most significant changes are the BJT model and "binning".
-
-
-New features:
-
-1. BJT model.
-
-2. "Binning" for all MOS models.
-
-3. Internal element: non-quasi-static poly-capacitor. (needed by BJT).
-
-4. Enhancements to the data structures and model compiler to support
-binning in general.
-
-5. A line prefixed by "*>" is not ignored, in spite of the fact that
-"*" usually begins a comment.  This is a deliberate incompatibility
-with Spice.  If you prefix a line by "*>" it will be interpreted as a
-non-comment in Gnucap, but a comment in Spice.
-
-6. Circuit line prefixes of ">" and command prefixes of "-->" are
-ignored.  This is so you can copy and paste whole lines, without
-having to manually remove the prompt string.
-
-
-Changes that may or may not be improvements.
-
-1. It is not the default to include stray resistance in device models.
-The option "norstray" will revert to the old behavior.  This is only a
-change to the default value of "rstray".
-
-
-Significant internal changes:
-
-1. The internal element non-quasi-static poly-capacitor actually
-works.  It is used by the BJT model, and will eventually be used by
-MOSFET models.
-
-2. There are now two poly_g devices: "CPOLY_G" and "FPOLY_G".  There
-are interface differences that impact modeling.  Previously, there was
-only one, which is equivalent to the "FPOLY_G".
-
-
-Some things that are still partially implemented:
-
-1. BSIM models, charge effects, "alpha0" parameter.  (computed then
-ignored)
-
-2. Configure still doesn't handle everything.
-
-3. The model compiler still requires too much raw coding.
-
-
-General comments:
-
-The new BJT model seems to pass the CircuitSim90 test cases as well as
-anything else, except where a missing feature prevented it from
-working.  A few files would not run because of named nodes.  One file
-(ring11) failed completely.  This file also has MOSFETs, with level 2
-models.  The MOS level 2 model is not as robust as the BJT.  I believe
-the problem is due to the voltage sync bug that still impacts the MOS
-model.
-
-Most of the models have has a reasonable amount of testing in DC, but
-inadequate testing in AC and transient.  The BJT model has had more
-testing than the others in AC and transient.  All differences
-(relative to other simulators) that were observed can be attributed to
-differences in transient step size control or tolerance checking.
-
-
-Bugs (nothing new, but needs repeating):
-
-1. The transmission line initial conditions are not propagated until
-the transient analysis runs.
- ------------------------------------------------------------------
-GNUCAP 0.30 release notes  (10/29/2001)
-
-The primary effort has been to finish the model compiler, to the point
-where it can handle most modeling issues.  The second change is to
-re-release as "gnucap", and add some configuration features to be more
-consistent with other GNU software.
-
-
-New features:
-
-1. More complete model compiler.
-
-2. "./configure" makes compiling more like other GNU software.
-
-
-Some things that are still partially implemented:
-
-1. Internal element: non-quasi-static poly-capacitor.
-
-2. BSIM models, charge effects, "alpha0" parameter.  (computed then ignored)
-
-3. Configure still doesn't handle everything.
-
-4. The model compiler still requires too much raw coding.
-
-
-Bugs (nothing new, but needs repeating):
-
-1. The transmission line initial conditions are not propagated until
-the transient analysis runs.
- ------------------------------------------------------------------
-ACS 0.29 release notes  (06/30/2001)
-
-The primary effort has been to implement IBIS, which is still not
-done.  The changes here are mostly infrastructure changes needed to
-support IBIS.
-
-
-New features:
-
-1. "Fit" function has choice of fit order and extrapolation.  You can
-have order 0, 1, 2, or 3.
-
-2. "Posy" has even and odd options, to determine what happens in the
-negative region.
-
-3. Modelgen improvements.  It now is useful for the whole device,
-sometimes.  It now handles probes and the device side of the model.
-The diode uses it completely.  There are still a few missing features
-needed for the MOSFET and BJT.
-
-4. Spice-3 compatible semiconductor resistor and capacitor.
-
-5. "Table" model statement.
-
-
-Improvements, bug fixes, etc.
-
-1. Option "numdgt" really works.
-
-2. Better error messages from modelgen.
-
-3. Code changes for optimization of commons.  This should reduce
-memory use, sometimes, by sharing commons.  Common sharing is still
-not fully implemented.
-
-4. Fix two bugs that sometimes caused problems after a "modify" or on
-a "fault".
-
-5. Better handling of "vmin" and "vmax".  It should be much less
-likely that limiting causes convergence to a nonsense result.
-
-
-Some things that are still partially implemented:
-
-1. Internal element: non-quasi-static poly-capacitor.
-
-2. BSIM models, charge effects, "alpha0" parameter.  (computed then ignored)
-
-
-Bugs (nothing new, but needs repeating):
-
-1. The transmission line initial conditions are not propagated until
-the transient analysis runs.
-
-2. The makefile does not set up the proper link for the model
-compiler.  You need to do it manually.
-
-3. On some systems, you will get a warning from the linker that "the
-use of 'tmpnam' is dangerous".  You can ignore this warning.
- ------------------------------------------------------------------
-ACS 0.28 release notes  (09/05/2000)
-
-New features:
-
-1. New probes: diode G, mos IBD, IBS, GBD, GBS.
-
-2. New options: "floor" and "vfloor".  (Floor was in the manual, but
-not in the simulator.)
-
-
-Improvements, bug fixes, etc.
-
-1. There is a change to the way behavioral modeling conditionals are
-handled.  It should now be 100% compatible with SPICE, considering the
-subset that duplicates SPICE.  There are still significant extensions
-beyond SPICE, particularly that you can have behavioral resistors,
-capacitors, inductors, etc.
-
-2. Parameter default calculations are now done in a manner consistent
-with Spice 3f5.  Previously, it was supposedly consistent with Spice
-2g6.
-
-3. A bug in calculation of threshold voltage of the level 6 model, for
-P channel devices, has been fixed.
-
-4. A bug in calculation of Meyer capacitances when the device is
-reversed has been fixed.  This bug sometimes caused a discontinuity at
-vds=0.
-
-5. I have added some smoothing to the Meyer mos capacitor models.
-This improves convergence.   The down side is that sometimes the
-answers are different.  It is probably a little better, when
-considering closeness to reality, but it is still Meyer's model.
-
-6. MOSFET parasitic diodes are now the same as those used in Spice.
-
-7. There are subtle changes in the diode model.  I think this usually
-improves convergence. 
-
-8. Charge calculation in Meyer capacitors and diode capacitiors is now
-supposedly Spice 3 compatible.
-
-9. An error in BSIM3 scaling has been fixed.
-
-
-Some things that are still partially implemented:
-
-1. Internal element: non-quasi-static poly-capacitor.
-
-2. BSIM models, charge effects.
-
-
-Bugs (nothing new, but needs repeating):
-
-1. The transmission line initial conditions are not propagated until
-the transient analysis runs.
-
-2. The makefile does not set up the proper link for the model
-compiler.  You need to do it manually.
-
-3. A bad setting of "vmax" and "vmin" can lead to convergence to a
-nonsense result.  It is not as bad now as it used to be.
- ------------------------------------------------------------------
-ACS 0.27 release notes  (06/03/2000)
-
-New features:
-
-1. BSIM3 model, DC.  
-They work for AC and transient analysis, but only the DC effects
-actually work.  The next release should have the charge effects.  For
-now, it fakes it with Meyer's model.
-
-2. A first cut at a model compiler, to aid in development of new
-models.  Models are described in a ".model" file, which is processed
-to automatically generate the ".h" and ".cc" files.  This version
-fully handles the ".model" statement part of it, but leaves the device
-and common sections the old way.  Eventually, the entire process will
-be automated.  The old way still works.
-
-3. "Fit" behavioral modeling function, which fits a curve to a set of
-data.  You can specify the order of the fit, which is piecewise
-polynomials.  For now, the order may be 1 (linear, like PWL) or 3
-(cubic splines).  You may also specify the boundary consitions.
-
-4. More probes.
-
-
-
-Some things that are partially implemented:
-
-1. Internal element: non-quasi-static poly-capacitor.  It is needed by 
-the BSIM3 and EKV models.  Eventually, it will be available as a
-netlist item, but not yet.
-
-
-
-Bug fixes:
-
-1. PWL could fail if there were duplicate points at the beginning.  It 
-still does, but gives a reasonable error message.
-
-2. Some "dot commands" were ignored if there were spaces before the
-dot.  This was particularly annoying if the line was supposed to be
-".end" which should make it exit.  It didn't, leaving it in
-interactive mode, a major annoyance in a script.
-
-
-
-Other improvements:
-
-1. There is a change to the way integration in capacitors is done.  It 
-is now strictly based on charge (i = dq/dt).  The old version was
-based on capacitance (i = C * dv/dt) which is strictly incorrect.  The 
-dC/dt term was missing (i = C * dv/dt + v * dC/dt).  This is a
-non-issue when C is constant.
-
-2. More documentation on internals.
-
-
-
-Changes that I think are improvements, but some may disagree:
-
-1. The command line is a little different.  In the old version, 
-"acs file" would run it, and whether it exited or not depended on
-whether there was an ".end" line.  Now, by default, it just loads the
-file in preparation for interactive use.  If you want batch mode, say
-"acs -b file".
-
-2. The regression suite is included in the standard distribution.
-
-
-
-Changes that are not really improvements:
-
-1. Due to the model compiler, the build process is a little more
-complicated.  To do a complete build, you must build the model
-compiler first, then the simulator.  If you are not making any new
-models, you can probably get away with just building the simulator.
-This will change in a future release.
-
-
-
-Bugs:
-
-1. The transmission line initial conditions are not propagated until
-the transient analysis runs.
-
-2. The makefile does not set up the proper link for the model
-compiler.  You need to do it manually.
- ------------------------------------------------------------------
-ACS 0.26 release notes  (02/02/2000)
-
-New features:
-
-1. BSIM1, BSIM2 models -- DC only.
-They work for AC and transient analysis, but only the
-DC effects actually work.   The next release should
-have the charge effects.
-
-2. New elements:
-	trans-capacitor
-	voltage controlled capacitor
-	voltage controlled conductance
-	voltage controlled resistor
-This is a side effect of the BSIM work.
-
-3. Optional new syntax, with type first so component labels can start
-with any letter, and the choice of components is no longer limited by
-the 26 letters.  This was necessary for a clean syntax
-for #2.
-
-4. Some new parameters on existing devices, also a side 
-effect of the BSIM work.
-
-5. The manual in HTML form.  The manual source is still in LaTeX,
-which can be used to generate HTML, PDF, Postscript, or many other
-formats.
-
-
-Bug fixes:
-
-1. An error causing truncation error to be underestimated has been fixed.
-
-
-Other improvements:
-
-1. MOSFET model evaluation is a little faster, due to use of one of
-the new elements to replace several old ones.  I have seen 40%, but
-20% is more likely.  The improvement is most evident on busy circuits,
-where the ACS speed enhancements based on latency exploitation
-contribute more overhead than their value, that is .. the type of
-circuit that has run faster in Spice than ACS.
-
-2. More documentation on internals.
-
-
-Changes that I think are improvements, but some may disagree:
-
-1. Truncation error based step control is disabled when Euler's method
-is selected.  The justification for this is that the reason for
-selecting Euler's method is to avoid the artifacts of high order
-methods on "stiff" poles.  Without this change, a "stiff" pole would
-cause an unreasonably small step size.  This did not appear to be much 
-of a problem in the old release because the use of an incorrect
-formula for estimating truncation error.  A "stiff" pole is one that
-has a response so fast it can be thought of as instantaneous.
-
-2. The "help" command, with its 4 year old help file, has been
-removed.  The concept is really obsolete.  With the HTML form of the
-manual, a full online manual is a better replacement.
- ------------------------------------------------------------------
-ACS 0.25 release notes  (11/04/99)
-
-New features:
-
-None!
-
-
-Bug fixes:
-
-1. A name conflict that caused compile to fail with gcc 2.95 has been
-fixed. 
-
-2. A problem that caused switches to lose state when a simulation is
-stopped and restarted when a switch is in transition has been fixed.
-
-3. Two unrelated problems with transmission lines have been fixed.
-(Short lines and lines in subcircuits.)
-
-
-Changes that may or may not be improvements:
-
-1. Several queues have been added, to manage model evaluation
-scheduling.  This is part of work on multi-rate and mixed-mode
-simulation, and it replaces the traditional bypass scheme.  In this
-release, probably the only noticeable difference will be that it runs
-a little faster.
-
-
-Known bugs:
-
-1. The help file has not been updated for 4 years.
- ------------------------------------------------------------------
-ACS 0.24 release notes  (08/21/99)
-
-New features:
-
-1. Enhanced (now documented) behavioral modeling.
-
-2. Transmission line in transient analysis.
-
-3. More documentation of internals.
-
-4. Better batch mode error handling.
-
-
-Bug fixes:
-
-1. Control-C trap works.
-
-2. A bug that could cause a crash when a device had an improper number
-of nodes has been fixed.  It now issues a warning, and grounds the
-unspecified nodes.
-
-3. A bug that could cause a crash when a model or subcircuit is
-deleted then accessed has been fixed.
-
-4. A scoping bug that sometimes put subckt parts in the root circuit
-has been fixed.
-
-5. A bug in "fanout" that listed internal subckt nodes incorrectly has
-been fixed.
-
-
-Changes that may or may not be improvements:
-
-1. "Make2" files for some systems have been removed.  These have not
-been tested in years, and ACS and the compilers have both evolved
-significantly, so any portability patches that old are probably
-completely wrong now.
-
-
-Known bugs:
-
-1. The help file has not been updated for 4 years.
- ------------------------------------------------------------------
-ACS 0.23 release notes  (06/15/99)
-
-New features:
-
-1. Level 6 mos model.
-
-2. HSpice style PWL and POLY(1).
-
-3. "Table" behavioral modeling function.
-
-4. Mixed-mode digital initialization.
-
-
-
-The bug fixes:
-
-1. The alarm range worked backwards, now fixed.
-
-2. Keep track of commons better.
-
-3. Improved mixed-mode simulation.  It still has not been tested as
-well as I would like, but is better.  Digital initialization works
-now.
-
-4. Another case of "zero time step" has been fixed.  This one was
-relatively benign, in that it only caused a single extra full
-evaluation, with immediate recovery to a normal step size.
-
-5. "Z" probe gave wrong results when probing a voltage source.
-
-
-
-Other improvements: (?)
-
-1. Some subtractions now de-noise the result, eliminating the tiny
-numbers that result from subtracting two nearly equal numbers.  The
-threshold can be set by the option "roundofftol".  It is best left set
-at 1e-13.  This improves speed slightly because 0 will prevent a
-matrix reload, but any non-zero number will not.  It improves
-convergence slightly because the tiny numbers (which result from
-numerical problems) tend to cause further numerical problems.  These
-tiny numbers are an artifact of the machine math, and vary depending
-on optimization and machine implementation details.
-
-2. MOS temperature effects are computed at run time, instead of at
-load time, so you can change the temperature after loading and get
-correct results.
-
-3. The options for integration method have changed, and are more
-flexible.  The default is still trapezoidal, but that may change in a
-future release.  You can specify the mode individually for capacitors
-and inductors.  The information is in the data structure for all
-components, but it isn't always parsed.  A future release will let you
-specify it by component or by model.  The names are Spectre
-compatible, not Spice compatible, because it is more flexible.  The
-Spice names are accepted, but may not act the same as they do in
-Spice.  Choices are: unknown, euler, euleronly, trap, traponly.
-Options accepted and coerced into something else are: gear2,
-gear2only, trapgear, trapeuler.  In general, gear is treated as euler,
-and each element will use either euler or trap.  The device choice
-wins over the option command choice, but "only" wins over non-only.
-
-4. Logic device syntax is changed.  There are two more nodes, so
-power, ground, and enable are passed in.  Power and enable are not
-used (except possibly in subckt models) but are required for
-consistency.
-
-5. In many (not all) cases, arbitrary limits, due to fixed size
-arrays, have been removed.
-
-6. More rigorous testing.  I actually have a regression suite now.  It
-is still not rigorous enough.
-
-7. More rigorous convergence criteria.  This should solve some of the
-false convergence problems.  ACS convergence criteria has always been
-more rigorous than Spice.
-
-
-
-The cosmetic changes:
-
-1. Convert most containers to STL.
-
-2. Complete migration to the "common" tree, and eliminating reference
-to the old C "x" structure extensions.
-
-3. Rearrangement of MOS model hierarchy, to make it easier to install
-other models.  (BSIM family is coming.)
- ------------------------------------------------------------------
-ACS 0.22 release notes  (10/16/98)
-
-This release contains a few bug fixes, and a few cosmetic changes to the
-code.
-
-The bug fixes:
-
-1. Fixes convergence failures in circuits with linear inductors,
-linear mutual inductors, and linear current controlled sources.
-
-2. Fixes a bypass problem, which shows as transient analysis failure
-with the message "very backward time step".
-
-3. Failed assertion on switch.
-
-The cosmetic changes:
-
-1. The "OMSTREAM" class, as a step in migrating the i/o to C++ style.
-
-2. A cleaner event queue, using a generic heap class.
-
-That's really all.  I have not been actively working on ACS, mostly
-due to employment at a semiconductor company in their "corporate CAD"
-department.  This will change soon, because my new employer (a CAD
-tool vendor) says it is ok to work on ACS.
- ------------------------------------------------------------------
-ACS 0.21 release notes  (03/30/96)
-
-There are several changes, most of which are only visible in subtle
-ways from the outside.
-
-1. The code is more conformant with the upcoming standard, particularly
-in the use of complex, templates, lifetime of temporaries, and for
-scope.  This should fix problems compiling with g++ 2.7.
-
-2. Element commons are freed properly.
-
-3. The manner in which elements are loaded into the matrix is
-different, and should be faster for large circuits with latency.
-Model bypass is more complete, because it is no longer necessary
-to do anything with a latent model.  It makes little difference
-for small circuits, and circuits that are mostly active.  Speed
-gains on small circuits are offset by #4.
-
-4. The bypass criteria are more strict.  It was possible to get
-incorrect results through interaction between model evaluation
-bypass and iteration damping.  This release will not bypass anything
-when damping is in effect.  There is a slight speed penalty.
-
-5. Logic devices work even when there is no analog model.  The bug
-causing a failure in this case has been fixed.  The "bug" (that
-could in a twisted sense be called a feature) that leads to random
-mapping on start-up is still there.  The logic model still needs
-work.
-
-6. The code is somewhat more object-oriented.  Some classes have
-been changed to be more general.
- ------------------------------------------------------------------
-ACS 0.20 release notes  (11/22/95)
-
-This release adds the level-3 MOSFET model.  It is Spice compatible.
-
-Actually, it was there a long time ago but was removed because it
-didn't work correctly.  This one has been tested and seems to match
-Spice results, and have convergence characteristics a little better
-than Spice 2g6.  Like the level 1 and 2 models, only Meyer's
-capacitance model is implemented. (like Spice 3).
- ------------------------------------------------------------------
-ACS 0.19 release notes  (10/31/95)
-
-This release offers "improved" convergence.
-
-Several new options have been added to control iteration damping.
-In some cases, the program will take a partial step in an attempt
-to tame the wild fluctuations that can occur during iteration with
-Newton's method.  This version appears to be considerably more
-robust than Spice 2g6.  Several test circuits that fail to converge
-on Spice do converge on ACS.  The cost of this is sometimes slower
-convergence.  It generally takes about 2 more iterations per step
-than the previous version.  This can be turned off, using the
-"dampstrategy" option at a slight cost in robustness.  See the
-manual on the options command for more information.
- ------------------------------------------------------------------
-ACS 0.18 release notes  (05/12/95)
-
-This release offers improved memory management and exception
-handling, primarily aimed at MSDOS systems.
-
-Bug fixes:
-
-1. For all ... Out of memory exceptions are properly trapped.
-Version 0.17 would crash if it ran out of memory.  Now you get a
-reasonable message.  It was only a real problem on MSDOS systems.
-
-2. MSDOS floating point exceptions are trapped.  In 0.17, underflow
-exceptions and null floating point exceptions could sometimes cause
-an abort.  I don't know why, but the 80287 can generate a null
-exception when apparently nothing is wrong.
-
-3. MSDOS only: Evade underflow in exp().  A large negative argument
-to exp can give bogus results.  This was a known (to me) in Microsoft
-C 5.1.  Apparently Borland has the same behavior.  It may be a
-hardware problem.  The fix is to not call exp with an argument
-beyond -200, and return 0 instead.
-
-4. Don't use "-fast-math" with g++.  (Makefile change).  It doesn't
-make any difference in speed, and it sometimes causes problems,
-particularly the one in #3 above.
-
-Performance improvements:
-
-1. Most elements no longer store values from the past.  Only
-inductors and capacitors do.  This means that some probes are no
-longer available.  Some other data has been moved to improve memory
-usage.  This change increases the capacity of the MSDOS version by
-about 10 transistors.  Unix systems will swap less.
-
-Other visible changes:
-
-1. The method of attaching models to devices has been changed, to
-improve maintainability.  There are a few noticeable side effects.
-
-a. The default models -d-, -n- are no longer available.  These were
-not documented.
-
-b. Model names must now be unique.  If you have a diode model named
-"foo", you may not also have a mosfet model named "foo".
-
-c. A diode can reference a mosfet model.  The diode will be equivalent
-to the source-bulk diode in the mosfet.  This is a byproduct of
-using C++ derived classes.  The mosfet model is derived from the
-diode model.
-
-2. Exception handling in batch mode is different.  It is still not
-right.
- ------------------------------------------------------------------
-ACS 0.17 release notes  (04/21/95)
-
-The primary difference in this release is reorganization and C++.
-A side benefit is that it is slightly faster, and uses slightly
-less memory.  (The program is bigger, but it stores data more
-efficiently, for a net improvement.)  It is a transitional release,
-with some of the code still in C.  I hope to have a full C++ version
-this summer.
-
-Bug fixes:
-
-1. Voltage source (vs, vcvs, ccvs) probes (current, power, etc.)
-now really work.
-
-2. Fixed bug that caused strange results with mutual inductance
-after other parts of the circuit are changed.
-
-3. Fixed memory leak in subcircuits and complex devices.
-
-4. Fixed bug that caused a crash when probed elements were deleted.
- ------------------------------------------------------------------
-ACS 0.16 release notes  (12/10/94)
-
-New features:
-
-1. New components: current controlled switch, current controlled
-current source, current controlled voltage source.  Any simple two
-terminal element (not a diode) can be used as a probe, not just 
-a voltage source.
-
-2. Diode transit time parameter works.
-
-3. Mutual inductance.  The coupled coils must be linear.  Only
-pairs of coupled inductors are supported in this release.  A future
-release will probably support multiply coupled inductors.
-
-Bug fixes:
-
-1. Continuing a transient analysis now works as documented.
- ------------------------------------------------------------------
-ACS 0.15 release notes  (07/31/94)
-
-Version 0.15 is supposed to be a minimal bug fix release to 0.14,
-but does have one new component.
-
-1. Some additional ports are supported, including VMS.  An HP port
-is supplied as a user contribution, but I have not tested it.
-
-2. New component: voltage controlled switch.  Spice-3 compatible.
-
-3. Fixed bug that caused unpredictable behavior (usually loss of
-a probe, sometimes a crash) after replacing a component.
-
-4. Fixed bug that caused incorrect evaluation and initialization
-of logic devices when there is no analog model.
-
-5. Fixed a bug in truncation error control that sometimes caused
-it to become a no-op.  It still seems to usually work the same as
-Spice, except that ACS will actually use at least the time steps
-you ask for.  Spice will pick all the steps and interpolate for
-the points you ask for.  This will usually cause ACS to use more
-time steps than Spice, hence often slower simulations.
-
-6. Fixed another bug in truncation error that sometimes caused a
-divide by zero.
- ------------------------------------------------------------------
-ACS 0.14 release notes  (07/05/94)
-
-Version 0.14 was supposed to be a minimal bug fix release to 0.13.
-The same bugs are still there and there are a few small additions
-mostly aimed at coping with convergence problems.
-
-1. The truncation error formula was changed to use the third
-derivative of charge instead of the second derivative of current.
-These two numbers are theoretically equal, but in practice they
-are not.  The second derivative of current uses 3 terms to compute
-by divided differences.  The third derivative of charge uses 4
-terms.  Using the odd number of terms catches the error due to the
-oscillatory nature of the trapezoid rule.  An even number of terms
-tends to lose this error.  Also, using charge tends to mask it.
-So, I have changed it to a less accurate, more optimistic method,
-the same as Spice.  It now seems to work about the same as Spice,
-in the sense that it usually chooses about the same step sizes.
-Strictly, the old method is "better" but it tends to run away on
-stiff poles, trying for excess accuracy that doesn't matter.  Your
-comments on this matter are welcome.  If you know of any good papers
-on this, please let me know.
-
-2. The "stiff" option has been removed from the transient command.
-Instead, use the .options "trapezoid" or "gear".  For now, "gear"
-implies first order and is equivalent to the old "stiff".  (A
-cop-out.)  Actually, for stiff poles you want a first order method
-because the error is lower.
-
-3. You can specify the integration method individually on any
-capacitor or inductor.
-
-4. A bug causing the MSDOS version (2 byte integers) to mess up
-probes of other than nodes was fixed.
-
-5. A bug that sometimes caused it to perpetually repeat the same
-time step was fixed.  (SPICE would have reported "time step too
-small" and stopped.)
-
-6. A bug that messed up step control when a dormant model wakes up
-and finds out it has been sleeping too long has been fixed.
-
-7. "Mosflags" and "diodeflags" are different and there are more of
-them.  There are lots of them and they may be useful in taming
-convergence problems.  They control the heuristics that are applied
-when there appears to be a convergence problem.  I have yet to find
-a circuit that could not be made to converge by judicious application
-of the flags and options, but any one setting is no better than
-Spice, and many are worse.  One combination of flags gives Spice
-style limiting, which more often than not makes convergence worse.
-
-8. "vmax" and "vmin" options as part of convergence heuristics.
-The default value of "limit" now large enough to essentially remove
-it.  These limits sometimes help convergence, but more often hurt.
-
-9. The "damp" option actually works.
-
-10. The diode "off" flag works correctly.
-
-11. There is a new command "alarm" that prints a message when a
-value exceeds a range.  The syntax is the same as the "plot" command.
- ------------------------------------------------------------------
-ACS 0.13 release notes  (03/11/94)
-
-Version 0.13 adds several new features and has several bug fixes
-and performance improvements.
-
-1. Fourier analysis really works.
-The "Fourier" command does a transient analysis but prints the
-results in the frequency domain.  It is similar to the Spice command
-of the same name but not exactly the same.  It is significantly
-more accurate than Spice because the transient time steps are chosen
-for the best match to the Fast Fourier Transform.  Considerably
-more flexibility is available than in Spice.
-
-2. Transient time step control by truncation error (finally).
-
-3. Several options have been added to display diagnostics.
-
-4. Fixed the default value for idsat, issat, ad, and as in the
-mos-diode.  In 0.12, the default area was 1 square meter, which
-gave mosfet capacitors in the 500 uf range.  This sometimes caused
-strange results.
-
-5. Added some node probes, mostly for diagnostic use.
-
-6. Fixed the "F" probe on linear elements.  (Capacitor charge,
-inductor flux, admittance current, resistor voltage)  It used to
-give an obviously bogus answer.
-
-
-Other changes:
-
-1. Some general changes in the interest of improving code quality
-in general.
-
-2. Function headers are in ANSI style, rather than K&R style.  This
-version will compile as either C or C++.  Future versions will
-require a C++ compiler.
- ------------------------------------------------------------------
-ACS 0.12 release notes  (10/09/93)
-
-Version 0.12 is a maintenance release.  It adds no features (except Ultrix
-support) but fixes several bugs and changes some porting details.  It
-should be easier to port this version than previous versions.
-
-The bug fixes are significant enough that you should upgrade to 0.12 even
-if you are not having any problems.
- ------------------------------------------------------------------
-ACS 0.11 release notes  (07/26/93)
-
-Version 0.11 fixes a few bugs in 0.10.  0.10 was supposed to be
-posted on alt.sources but due to a problem with the feed it never
-happened.
-
-New features:
-
-1. New MOSFET and diode probes.  All information available from
-the Spice 2 "op" analysis is now available as probes.  For MOSFET
-these include cbd, cbs, cgsovl, cgdovl, cgbovl, cgs, cgd, cgb,
-vdsat, vth.  Other (non-spice) new probes include cgst, cgdt, cgbt.
-(cgst = Cgs total = cgsovl + cgs.) These were available before by
-probing the value of the internal element but it was less convenient.
-Now it is simple and has the same name as in Spice.  These probes
-are also available in transient analysis, so you can see (for
-example) the dynamic variations in capacitance.
-
-Bugs fixed:
-
-1. Pass arguments to tr_volts and family by pointer instead of the
-whole structure.  This is less likely to tickle a bug in Microsoft
-C.  The MSDOS version of ACS 0.10 crashed frequently due to this
-bug.  (Strictly, this is a Microsoft-C bug, not an ACS bug but the
-effect is the same.)
-
-2. The AC "Z" probes work again.  They were broken in 0.10.
-
-3. The size of the buffer for text plots is increased allowing
-support for wider screens.  The old version would crash if you did
-plots with outwidth set to wider than 128.  The fix is to make it
-bigger, with a new constant MAXWIDTH, and trap the width setting
-bigger than MAXWIDTH.  The current max is 256 for MSDOS and 512
-for unix.  The plotting code should probably be redone.
-
-4. Width is narrower by 1 so setting width to 80 won't leave blank
-lines on an 80 column screen.
-
-5. MOSFET capacitance now matches Spice (Meyer model)
-
-6. Level 1 model linear region gds calculation was wrong, but close
-enough to often go unnoticed.  It is correct and matches Spice now.
-
-7. The value of an AC source now is compatible with Spice.  That
-is, plain value is the DC-tran value.  In old versions of ACS a
-plain value applied to all analyses including AC.  You needed to
-prefix the value with "DC" to make it not apply to AC.  Actually,
-it worked as documented.  Now it works like Spice, which makes more
-sense.  This was a major source of strange results in AC analysis.
- ------------------------------------------------------------------
- ------------------------------------------------------------------
diff --git a/doc/relnotes.029 b/doc/relnotes.029
deleted file mode 100644
index aa3718c..0000000
--- a/doc/relnotes.029
+++ /dev/null
@@ -1,92 +0,0 @@
-ACS 0.29 release notes  (06/30/2001)
-
-The primary effort has been to implement IBIS, which is still not
-done.  The changes here are mostly infrastructure changes needed to
-support IBIS.
-
-
-New features:
-
-1. "Fit" function has choice of fit order and extrapolation.  You can
-have order 0, 1, 2, or 3.
-
-2. "Posy" has even and odd options, to determine what happens in the
-negative region.
-
-3. Modelgen improvements.  It now is useful for the whole device,
-sometimes.  It now handles probes and the device side of the model.
-The diode uses it completely.  There are still a few missing features
-needed for the MOSFET and BJT.
-
-4. Spice-3 compatible semiconductor resistor and capacitor.
-
-5. "Table" model statement.
-
-
-Improvements, bug fixes, etc.
-
-1. Option "numdgt" really works.
-
-2. Better error messages from modelgen.
-
-3. Code changes for optimization of commons.  This should reduce
-memory use, sometimes, by sharing commons.  Common sharing is still
-not fully implemented.
-
-4. Fix two bugs that sometimes caused problems after a "modify" or on
-a "fault".
-
-5. Better handling of "vmin" and "vmax".  It should be much less
-likely that limiting causes convergence to a nonsense result.
-
-
-Some things that are still partially implemented:
-
-1. Internal element: non-quasi-static poly-capacitor.
-
-2. BSIM models, charge effects, "alpha0" parameter.  (computed then ignored)
-
-
-Bugs (nothing new, but needs repeating):
-
-1. The transmission line initial conditions are not propagated until
-the transient analysis runs.
-
-2. The makefile does not set up the proper link for the model
-compiler.  You need to do it manually.
-
-3. On some systems, you will get a warning from the linker that "the
-use of 'tmpnam' is dangerous".  You can ignore this warning.
-
-
-Hot items for a future release (no promises, but highly probable):
-
-1. Charge effects and substrate current (alpha0) in BSIM models.  They
-are computed, but not loaded to the matrix.
-
-2. Completion of model compiler, and its documentation.
-
-3. Completion of multi-rate.
-
-4. Homotopy methods to improve convergence.
-
-5. Transmission line accuracy and speed improvements, using a step
-control mechanism similar to that used for capacitors.
-
-6. Parameterized subcircuits and defined parameters.
-
-7. A "trigger" element, so time dependent values can be triggered by
-the circuit, as an alternate to simple time.
-
-8. IBIS support.
-
-9. Spice-3 compatible "B" device.
-
-
-To reach me, try this email address:
-	aldavis at ieee.org
-
-ACS ftp sites:
-	ftp://ftp.geda.seul.org/pub/geda/dist/acs-0.29.tar.gz
-	http://www.geda.seul.org/dist/acs-0.29.tar.gz
-	ftp://sunsite.unc.edu/pub/Linux/apps/circuits/acs-0.29.tar.gz
diff --git a/doc/relnotes.030 b/doc/relnotes.030
deleted file mode 100644
index 39c10fc..0000000
--- a/doc/relnotes.030
+++ /dev/null
@@ -1,60 +0,0 @@
-GNUCAP 0.30 release notes  (10/29/2001)
-
-The primary effort has been to finish the model compiler, to the point
-where it can handle most modeling issues.  The second change is to
-re-release as "gnucap", and add some configuration features to be more
-consistent with other GNU software.
-
-
-New features:
-
-1. More complete model compiler.
-
-2. "./configure" makes compiling more like other GNU software.
-
-
-Some things that are still partially implemented:
-
-1. Internal element: non-quasi-static poly-capacitor.
-
-2. BSIM models, charge effects, "alpha0" parameter.  (computed then ignored)
-
-3. Configure still doesn't handle everything.
-
-4. The model compiler still requires too much raw coding.
-
-
-Bugs (nothing new, but needs repeating):
-
-1. The transmission line initial conditions are not propagated until
-the transient analysis runs.
-
-
-Hot items for a future release (no promises, but highly probable):
-
-1. BJT model.  The model compiler is complete enough that it should be
-easy now.
-
-2. Charge effects and substrate current (alpha0) in BSIM models.  They
-are computed, but not loaded to the matrix.
-
-3. Completion of multi-rate.
-
-4. Homotopy methods to improve convergence.
-
-5. Transmission line accuracy and speed improvements, using a step
-control mechanism similar to that used for capacitors.
-
-6. Parameterized subcircuits and defined parameters.
-
-7. Spice-3 compatible "B" device.
-
-
-To reach me, try this email address:
-	aldavis at ieee.org
-
-ftp sites:
-	ftp://ftp.gnu.org/gnu/gnucap/gnucap-0.30.tar.gz
-	ftp://ftp.geda.seul.org/pub/geda/dist/gnucap-0.30.tar.gz
-	http://www.geda.seul.org/dist/gnucap-0.30.tar.gz
-	ftp://sunsite.unc.edu/pub/Linux/apps/circuits/gnucap-0.30.tar.gz
diff --git a/doc/relnotes.031 b/doc/relnotes.031
deleted file mode 100644
index 41e8946..0000000
--- a/doc/relnotes.031
+++ /dev/null
@@ -1,126 +0,0 @@
-Gnucap 0.31 release notes  (03/25/2002)
-
-The most significant changes are the BJT model and "binning".
-
-
-New features:
-
-1. BJT model.
-
-2. "Binning" for all MOS models.
-
-3. Internal element: non-quasi-static poly-capacitor. (needed by BJT).
-
-4. Enhancements to the data structures and model compiler to support
-binning in general.
-
-5. A line prefixed by "*>" is not ignored, in spite of the fact that
-"*" usually begins a comment.  This is a deliberate incompatibility
-with Spice.  If you prefix a line by "*>" it will be interpreted as a
-non-comment in Gnucap, but a comment in Spice.
-
-6. Circuit line prefixes of ">" and command prefixes of "-->" are
-ignored.  This is so you can copy and paste whole lines, without
-having to manually remove the prompt string.
-
-
-Changes that may or may not be improvements.
-
-1. It is not the default to include stray resistance in device models.
-The option "norstray" will revert to the old behavior.  This is only a
-change to the default value of "rstray".
-
-
-Significant internal changes:
-
-1. The internal element non-quasi-static poly-capacitor actually
-works.  It is used by the BJT model, and will eventually be used by
-MOSFET models.
-
-2. There are now two poly_g devices: "CPOLY_G" and "FPOLY_G".  There
-are interface differences that impact modeling.  Previously, there was
-only one, which is equivalent to the "FPOLY_G".
-
-
-Some things that are still partially implemented:
-
-1. BSIM models, charge effects, "alpha0" parameter.  (computed then
-ignored)
-
-2. Configure still doesn't handle everything.
-
-3. The model compiler still requires too much raw coding.
-
-
-General comments:
-
-The new BJT model seems to pass the CircuitSim90 test cases as well as
-anything else, except where a missing feature prevented it from
-working.  A few files would not run because of named nodes.  One file
-(ring11) failed completely.  This file also has MOSFETs, with level 2
-models.  The MOS level 2 model is not as robust as the BJT.  I believe
-the problem is due to the voltage sync bug that still impacts the MOS
-model.
-
-Most of the models have has a reasonable amount of testing in DC, but
-inadequate testing in AC and transient.  The BJT model has had more
-testing than the others in AC and transient.  All differences
-(relative to other simulators) that were observed can be attributed to
-differences in transient step size control or tolerance checking.
-
-
-Bugs (nothing new, but needs repeating):
-
-1. The transmission line initial conditions are not propagated until
-the transient analysis runs.
-
-
-Bugs (newly discovered, not fixed):
-
-1. In some cases, it is possible for the voltages at various stages of
-model evaluation to get out of sync with each other.  In particular,
-when device limiting occurs, the conversion from FPOLY to CPOLY form
-may use the unlimited voltages, resulting in an erroneous conversion.
-This problem has been fixed (before release) in the DC BJT model.  The
-charge portion of the BJT model may still have the problem, but the
-symptom rarely shows.  The MOS model is known to still have the
-problem.  The symptom of the problem is occasional slow convergence or
-non convergence.  There can be a single incorrect iteration that
-throws the solution far from the intended path, then it usually
-recovers eventually.  The fix is known, but I wanted to get the BJT
-model out, so this fix will wait for the next release.
-
-2. The "modify" command with multiple arguments seems to take only the
-first one.  It used to work, but is broken in this release.  I am not
-sure when it broke.
-
-
-Hot items for a future release (no promises, but highly probable):
-
-1. Charge effects and substrate current (alpha0) in BSIM models.  They
-are computed, but not loaded to the matrix.  It was deferred waiting
-for the poly-cap, but now that the poly-cap works, this missing part
-of the MOS models should be working soon.
-
-2. JFET model.
-
-3. Completion of multi-rate.
-
-4. Homotopy methods to improve convergence.
-
-5. Transmission line accuracy and speed improvements, using a step
-control mechanism similar to that used for capacitors.
-
-6. Parameterized subcircuits and defined parameters.
-
-7. Spice-3 compatible "B" device.
-
-
-To reach me, try this email address:
-	aldavis at ieee.org
-
-ftp sites:
-	ftp://ftp.gnu.org/gnu/gnucap/gnucap-0.31.tar.gz
-	ftp://ftp.geda.seul.org/pub/geda/dist/gnucap-0.31.tar.gz
-	http://www.geda.seul.org/dist/gnucap-0.31.tar.gz
-	ftp://sunsite.unc.edu/pub/Linux/apps/circuits/gnucap-0.31.tar.gz
diff --git a/doc/relnotes.032 b/doc/relnotes.032
deleted file mode 100644
index b950121..0000000
--- a/doc/relnotes.032
+++ /dev/null
@@ -1,132 +0,0 @@
-Gnucap 0.32 release notes  (09/30/2002)
-
-New features:
-
-1. Series resistance in the diode.  It took 5 minutes to do,
-so it is embarrasing that it wasn't done before.
-
-2. History and command line editing, using Gnu Readline.  Thanks to
-Simon Hoffe for sending me the patch.
-
-3. More parameters in the BJT model.  This gives it better
-compatibility with commercial simulators.  These parameters are beyond
-Spice 3f5.
-
-4. "M" parameter in diode, BJT and MOS devices.  M is the number of
-parallel devices.  Some commercial simulators have this.
-
-
-
-Changes that may or may not be improvements.
-
-1. The definition of the transient option "UIC" has changed.  It is
-now Spice compatible, which means to not attempt to do any solution or
-consistency check.  Just apply the values, assuming anything that
-isn't specified is 0.  The old behavior was to attempt a solution
-while holding the IC values.
- 
-
-Bug fixes:
-
-1. voltage sync bug.  It still doesn't fix the MOS 2 convergence
-problem.
-
-2. Fix memory leak in POLY components.
-
-3. Fix bug in Fourier that sometimes causes overrun (crash) and time
-sync errors.
-
-4. Modelgen: fix bug in list parsing.
-
-5. Some changes to eliminate warnings when compiling with g++ 3.1.
-
-6. Use Euler differentiation on first step, because trap used a value
-that cannot be known then.  Usually, this doesn't make much
-difference, but there are a few cases where the error can get
-magnified and trigger trapezoidal ringing, leading to a totally bogus
-result.  It most cases, you could hide it with small enough steps.
-These cases should work with default settings now.
-
-7. Fix bug that sometimes caused incorrect handling of initial
-conditions (UIC),
-
-8. Fix bug that caused continuing a transient analysis to give
-incorrect results.
-
-
-
-Significant internal changes:
-
-1. The inductor uses all of the same support functions as the
-capacitor, including "integrate", which is now correctly called
-"differentiate".
-
-2. Most of the code is in place for named nodes.  It mostly works and
-can be turned on with the option "namednodes".  It is off by default
-because it is not complete.  Most likely, it will be finished in the
-next release.
-
-
-
-Some things that are still partially implemented:
-
-1. BSIM models, charge effects, "alpha0" parameter.  (computed then
-ignored)
-
-2. Configure still doesn't handle everything.
-
-3. The model compiler still requires too much raw coding.
-
-4. Named nodes.  If you set the option "namednodes", it will support
-named nodes, but some things don't work, so it is off by default.
-
-5. The preliminary IBIS code is now included.  For now, it is a
-standalone executable, that reads an IBIS file and generates a
-netlist.  The netlist requires some editing to use, and is not fully
-compatible anyway.  It is included in hopes of recruiting help in
-finishing the project.
-
-
-
-Bugs (nothing new, but needs repeating):
-
-1. The transmission line initial conditions are not propagated until
-the transient analysis runs.
-
-2. An occasional bogus calculation in MOSFETS occurs when a device is
-reversed.  This sometimes causes nonconvergence.
-
-3. The "modify" command with multiple arguments seems to take only the
-first one.  It used to work, but is broken in this release.  I am not
-sure when it broke.
-
-
-Hot items for a future release (no promises, but highly probable):
-
-1. Charge effects and substrate current (alpha0) in BSIM models.  They
-are computed, but not loaded to the matrix.  It was deferred waiting
-for the poly-cap, but now that the poly-cap works, this missing part
-of the MOS models should be working soon.
-
-2. JFET model.
-
-3. Completion of multi-rate.
-
-4. Homotopy methods to improve convergence.
-
-5. Transmission line accuracy and speed improvements, using a step
-control mechanism similar to that used for capacitors.
-
-6. Parameterized subcircuits and defined parameters.
-
-7. Spice-3 compatible "B" device.
-
-
-To reach me, try this email address:
-	aldavis at gnu.org
-
-ftp sites:
-	ftp://ftp.gnu.org/gnu/gnucap/gnucap-0.32.tar.gz
-	ftp://ftp.geda.seul.org/pub/geda/dist/gnucap-0.32.tar.gz
-	http://www.geda.seul.org/dist/gnucap-0.32.tar.gz
-	ftp://sunsite.unc.edu/pub/Linux/apps/circuits/gnucap-0.32.tar.gz
diff --git a/doc/relnotes.033 b/doc/relnotes.033
deleted file mode 100644
index 813f586..0000000
--- a/doc/relnotes.033
+++ /dev/null
@@ -1,161 +0,0 @@
-Gnucap 0.33 release notes  (01/12/2003)
-
-This is a bug fix and compatibility release.
-
-0.32 was not widely distributed due to password problems and a heavy
-work load, so the release notes are repeated after the current ones.
-
-New features:
-
-1. Add inductance probes, like capacitor.
-
-
-
-Bug fixes:
-
-1. Fix xprobe duplicate default arg bug - shows in g++3.2.
-
-2. Fix bug that sometimes caused a crash when changing a model after
-analysis.
-
-3. Fix bug that caused an assert to fail (debug build) after removing
-a probe from an element.
-
-4. Fix a dumb typo hack bug ddHAS_READLINE.  Now history and command
-line editing really works.  It was working, but somehow the hack
-slipped into the release code.
-
-
-=================================================================
-Gnucap 0.32 release notes  (09/30/2002)
-
-New features:
-
-1. Series resistance in the diode.  It took 5 minutes to do,
-so it is embarrasing that it wasn't done before.
-
-2. History and command line editing, using Gnu Readline.  Thanks to
-Simon Hoffe for sending me the patch.
-
-3. More parameters in the BJT model.  This gives it better
-compatibility with commercial simulators.  These parameters are beyond
-Spice 3f5.
-
-4. "M" parameter in diode, BJT and MOS devices.  M is the number of
-parallel devices.  Some commercial simulators have this.
-
-
-
-Changes that may or may not be improvements.
-
-1. The definition of the transient option "UIC" has changed.  It is
-now Spice compatible, which means to not attempt to do any solution or
-consistency check.  Just apply the values, assuming anything that
-isn't specified is 0.  The old behavior was to attempt a solution
-while holding the IC values.
- 
-
-Bug fixes:
-
-1. voltage sync bug.  It still doesn't fix the MOS 2 convergence
-problem.
-
-2. Fix memory leak in POLY components.
-
-3. Fix bug in Fourier that sometimes causes overrun (crash) and time
-sync errors.
-
-4. Modelgen: fix bug in list parsing.
-
-5. Some changes to eliminate warnings when compiling with g++ 3.1.
-
-6. Use Euler differentiation on first step, because trap used a value
-that cannot be known then.  Usually, this doesn't make much
-difference, but there are a few cases where the error can get
-magnified and trigger trapezoidal ringing, leading to a totally bogus
-result.  It most cases, you could hide it with small enough steps.
-These cases should work with default settings now.
-
-7. Fix bug that sometimes caused incorrect handling of initial
-conditions (UIC),
-
-8. Fix bug that caused continuing a transient analysis to give
-incorrect results.
-
-
-
-Significant internal changes:
-
-1. The inductor uses all of the same support functions as the
-capacitor, including "integrate", which is now correctly called
-"differentiate".
-
-2. Most of the code is in place for named nodes.  It mostly works and
-can be turned on with the option "namednodes".  It is off by default
-because it is not complete.  Most likely, it will be finished in the
-next release.
-
-
-
-Some things that are still partially implemented:
-
-1. BSIM models, charge effects, "alpha0" parameter.  (computed then
-ignored)
-
-2. Configure still doesn't handle everything.
-
-3. The model compiler still requires too much raw coding.
-
-4. Named nodes.  If you set the option "namednodes", it will support
-named nodes, but some things don't work, so it is off by default.
-
-5. The preliminary IBIS code is now included.  For now, it is a
-standalone executable, that reads an IBIS file and generates a
-netlist.  The netlist requires some editing to use, and is not fully
-compatible anyway.  It is included in hopes of recruiting help in
-finishing the project.
-
-
-
-Bugs (nothing new, but needs repeating):
-
-1. The transmission line initial conditions are not propagated until
-the transient analysis runs.
-
-2. An occasional bogus calculation in MOSFETS occurs when a device is
-reversed.  This sometimes causes nonconvergence.
-
-3. The "modify" command with multiple arguments seems to take only the
-first one.  It used to work, but is broken in this release.  I am not
-sure when it broke.
-
-
-Hot items for a future release (no promises, but highly probable):
-
-1. Charge effects and substrate current (alpha0) in BSIM models.  They
-are computed, but not loaded to the matrix.  It was deferred waiting
-for the poly-cap, but now that the poly-cap works, this missing part
-of the MOS models should be working soon.
-
-2. JFET model.
-
-3. Completion of multi-rate.
-
-4. Homotopy methods to improve convergence.
-
-5. Transmission line accuracy and speed improvements, using a step
-control mechanism similar to that used for capacitors.
-
-6. Parameterized subcircuits and defined parameters.
-
-7. Spice-3 compatible "B" device.
-
-
-To reach me, try this email address:
-	aldavis at gnu.org
-
-ftp sites:
-	ftp://ftp.gnu.org/gnu/gnucap/gnucap-0.32.tar.gz
-	ftp://ftp.geda.seul.org/pub/geda/dist/gnucap-0.32.tar.gz
-	http://www.geda.seul.org/dist/gnucap-0.32.tar.gz
-	ftp://sunsite.unc.edu/pub/Linux/apps/circuits/gnucap-0.32.tar.gz
diff --git a/doc/relnotes.034 b/doc/relnotes.034
deleted file mode 100644
index fd88c67..0000000
--- a/doc/relnotes.034
+++ /dev/null
@@ -1,65 +0,0 @@
-Gnucap 0.34 release notes  (02/01/2004)
-
-This is a bug fix and compatibility release.
-
-1. Fix bug causing incorrect interpolation of backwards tables.
-            
-2. Fix tanh overflow bug.
-            
-3. Fix some parsing bugs.
-            
-4. Fix occasional "double load" bug.
-            
-5. Fix AC sweep with one point.
-            
-6. Transient start time really works.
-            
-7. Fix occasional assert fail after option short is changed.
-            
-8. Fix memory leak resulting from failure to delete unused common.
-
-9. Fix a Z probe bug that sometimes gave wrong answers.
-
-10. Fix a limiting bug that sometimes caused non-convergence.
-
-11. Configure handles isnan.
-
-12. Improvements to logic initialization.  It is still not correct.
-
-
-Some things that are still partially implemented:
-
-1. BSIM models, charge effects, "alpha0" parameter.  (computed then
-ignored)
-
-2. Configure still doesn't handle everything.
-
-3. The model compiler still requires too much raw coding.
-
-4. Named nodes.  If you set the option "namednodes", it will support
-named nodes, but some things don't work, so it is off by default.
-
-5. The preliminary IBIS code is now included.  For now, it is a
-standalone executable, that reads an IBIS file and generates a
-netlist.  The netlist requires some editing to use, and is not fully
-compatible anyway.  It is included in hopes of recruiting help in
-finishing the project.
-
-
-
-Bugs (nothing new, but needs repeating):
-
-1. The transmission line initial conditions are not propagated until
-the transient analysis runs.
-
-2. An occasional bogus calculation in MOSFETS occurs when a device is
-reversed.  This sometimes causes nonconvergence.
-
-3. Initialization is strange when repeating an analysis without an
-intermediate edit.
-
-
-
-Hot items for a future release (no promises, but highly probable):
-
-1. Verilog-AMS and VHDL-AMS support.
diff --git a/doc/whatisit b/doc/whatisit
deleted file mode 100644
index e275bd4..0000000
--- a/doc/whatisit
+++ /dev/null
@@ -1,70 +0,0 @@
-ACS is a general purpose circuit simulator.  It performs nonlinear
-dc and transient analyses, fourier analysis, and ac analysis
-linearized at an operating point.  It is fully interactive and
-command driven.  It can also be run in batch mode or as a server.
-The output is produced as it simulates.  Spice compatible models
-for the MOSFET (level 1-7) and diode are included in this
-release.
-
-ACS is not based on Berkeley Spice, but some of the models have been
-derived from the Berleley models.
-
-Since it is fully interactive, it is possible to make changes and
-re-simulate quickly.  The interactive design makes it well suited
-to the typical iterative design process used it optimizing a circuit
-design.  It is also well suited to undergraduate teaching where
-Spice in batch mode can be quite intimidating.  This version, while
-still officially in beta test, should be stable enough for basic
-undergraduate teaching and courses in MOS design, but not for
-bipolar design.
-
-In batch mode it is mostly Spice compatible, so it is often possible
-to use the same file for both ACS and Spice.
-
-The analog simulation is based on traditional nodal analysis with
-iteration by Newton's method and LU decomposition.  An event queue
-and incremental matrix update speed up the solution for large
-circuits (at some expense for small circuits).
-
-It also has digital devices for mixed signal simulation.  The
-digital devices may be implemented as either analog subcircuits or
-as true digital models.  The simulator will automatically determine
-which to use.  Networks of digital devices are simulated as digital,
-with no conversions to analog between gates.  This results in
-digital circuits being simulated faster than on a typical analog
-simulator, even with behavioral models.  The digital mode is
-experimental and needs work.  There will be substantial improvements
-in future releases.
-
-ACS also has a simple behavioral modeling language that allows
-simple behavioral descriptions of most components including capacitors
-and inductors.
-
-ACS uses an object oriented approach to modeling.  Complex models like
-MOSFETS are made of simpler ones like resistors, capacitors, diodes,
-and any other models that may already exist.  The model designer does
-not need to worry about details like convergence checking, bypass
-checking, integration, or how the new device plugs into the solution
-matrix because these are already taken care of by the basic models.
-In addition to this, a model generator automates the most tedious and
-most simulator dependent parts. This results in a dramatic improvement
-in the time it takes a researcher or model designer to install a new
-model, compared to Spice.
-
-If you are tired of Spice and want a second opinion, you want to
-play with the circuit and want a simulator that is interactive, or
-you want to study the source code and want something easier to
-follow than Spice, try ACS.
-
-ACS is an ongoing research project.  It is being released in a
-preliminary phase in hopes that it will be useful and that others
-will use it as a thrust or base for their research.  I also hope
-for some comments that may help me direct my research.
-
-ACS ftp sites:
-	ftp://sunsite.unc.edu/pub/Linux/apps/circuits/acs-0.27.tar.gz
-	ftp://ftp.geda.seul.org/pub/geda/dist/acs-0.27.tar.gz
-	http://www.geda.seul.org/dist/acs-0.27.tar.gz
-
-Albert Davis
-aldavis at ieee.org
diff --git a/examples/Makefile.in b/examples/Makefile.in
deleted file mode 100644
index d47979e..0000000
--- a/examples/Makefile.in
+++ /dev/null
@@ -1,375 +0,0 @@
-# Makefile.in generated by automake 1.11 from Makefile.am.
-# @configure_input@
-
-# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
-# 2003, 2004, 2005, 2006, 2007, 2008, 2009  Free Software Foundation,
-# Inc.
-# This Makefile.in is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# This program is distributed in the hope that it will be useful,
-# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
-# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
-# PARTICULAR PURPOSE.
-
- at SET_MAKE@
-
-VPATH = @srcdir@
-pkgdatadir = $(datadir)/@PACKAGE@
-pkgincludedir = $(includedir)/@PACKAGE@
-pkglibdir = $(libdir)/@PACKAGE@
-pkglibexecdir = $(libexecdir)/@PACKAGE@
-am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
-install_sh_DATA = $(install_sh) -c -m 644
-install_sh_PROGRAM = $(install_sh) -c
-install_sh_SCRIPT = $(install_sh) -c
-INSTALL_HEADER = $(INSTALL_DATA)
-transform = $(program_transform_name)
-NORMAL_INSTALL = :
-PRE_INSTALL = :
-POST_INSTALL = :
-NORMAL_UNINSTALL = :
-PRE_UNINSTALL = :
-POST_UNINSTALL = :
-subdir = examples
-DIST_COMMON = README $(dist_pkgexamples_DATA) $(srcdir)/Makefile.am \
-	$(srcdir)/Makefile.in
-ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
-am__aclocal_m4_deps = $(top_srcdir)/configure.ac
-am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
-	$(ACLOCAL_M4)
-mkinstalldirs = $(install_sh) -d
-CONFIG_HEADER = $(top_builddir)/config.h
-CONFIG_CLEAN_FILES =
-CONFIG_CLEAN_VPATH_FILES =
-SOURCES =
-DIST_SOURCES =
-am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
-am__vpath_adj = case $$p in \
-    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
-    *) f=$$p;; \
-  esac;
-am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
-am__install_max = 40
-am__nobase_strip_setup = \
-  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
-am__nobase_strip = \
-  for p in $$list; do echo "$$p"; done | sed -e "s|$$srcdirstrip/||"
-am__nobase_list = $(am__nobase_strip_setup); \
-  for p in $$list; do echo "$$p $$p"; done | \
-  sed "s| $$srcdirstrip/| |;"' / .*\//!s/ .*/ ./; s,\( .*\)/[^/]*$$,\1,' | \
-  $(AWK) 'BEGIN { files["."] = "" } { files[$$2] = files[$$2] " " $$1; \
-    if (++n[$$2] == $(am__install_max)) \
-      { print $$2, files[$$2]; n[$$2] = 0; files[$$2] = "" } } \
-    END { for (dir in files) print dir, files[dir] }'
-am__base_list = \
-  sed '$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;s/\n/ /g' | \
-  sed '$$!N;$$!N;$$!N;$$!N;s/\n/ /g'
-am__installdirs = "$(DESTDIR)$(pkgexamplesdir)"
-DATA = $(dist_pkgexamples_DATA)
-DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
-ACLOCAL = @ACLOCAL@
-AMTAR = @AMTAR@
-AUTOCONF = @AUTOCONF@
-AUTOHEADER = @AUTOHEADER@
-AUTOMAKE = @AUTOMAKE@
-AWK = @AWK@
-CPPFLAGS = @CPPFLAGS@
-CXX = @CXX@
-CXXDEPMODE = @CXXDEPMODE@
-CXXFLAGS = @CXXFLAGS@
-CYGPATH_W = @CYGPATH_W@
-DEFS = @DEFS@
-DEPDIR = @DEPDIR@
-ECHO_C = @ECHO_C@
-ECHO_N = @ECHO_N@
-ECHO_T = @ECHO_T@
-EXEEXT = @EXEEXT@
-INSTALL = @INSTALL@
-INSTALL_DATA = @INSTALL_DATA@
-INSTALL_PROGRAM = @INSTALL_PROGRAM@
-INSTALL_SCRIPT = @INSTALL_SCRIPT@
-INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
-LDFLAGS = @LDFLAGS@
-LIBOBJS = @LIBOBJS@
-LIBS = @LIBS@
-LTLIBOBJS = @LTLIBOBJS@
-MAINT = @MAINT@
-MAKEINFO = @MAKEINFO@
-MKDIR_P = @MKDIR_P@
-MODELGEN = @MODELGEN@
-OBJEXT = @OBJEXT@
-PACKAGE = @PACKAGE@
-PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
-PACKAGE_NAME = @PACKAGE_NAME@
-PACKAGE_STRING = @PACKAGE_STRING@
-PACKAGE_TARNAME = @PACKAGE_TARNAME@
-PACKAGE_URL = @PACKAGE_URL@
-PACKAGE_VERSION = @PACKAGE_VERSION@
-PATH_SEPARATOR = @PATH_SEPARATOR@
-SET_MAKE = @SET_MAKE@
-SHELL = @SHELL@
-STRIP = @STRIP@
-VERSION = @VERSION@
-abs_builddir = @abs_builddir@
-abs_srcdir = @abs_srcdir@
-abs_top_builddir = @abs_top_builddir@
-abs_top_srcdir = @abs_top_srcdir@
-ac_ct_CXX = @ac_ct_CXX@
-am__include = @am__include@
-am__leading_dot = @am__leading_dot@
-am__quote = @am__quote@
-am__tar = @am__tar@
-am__untar = @am__untar@
-bindir = @bindir@
-build_alias = @build_alias@
-builddir = @builddir@
-datadir = @datadir@
-datarootdir = @datarootdir@
-docdir = @docdir@
-dvidir = @dvidir@
-exec_prefix = @exec_prefix@
-host_alias = @host_alias@
-htmldir = @htmldir@
-includedir = @includedir@
-infodir = @infodir@
-install_sh = @install_sh@
-libdir = @libdir@
-libexecdir = @libexecdir@
-localedir = @localedir@
-localstatedir = @localstatedir@
-mandir = @mandir@
-mkdir_p = @mkdir_p@
-oldincludedir = @oldincludedir@
-pdfdir = @pdfdir@
-prefix = @prefix@
-program_transform_name = @program_transform_name@
-psdir = @psdir@
-sbindir = @sbindir@
-sharedstatedir = @sharedstatedir@
-srcdir = @srcdir@
-sysconfdir = @sysconfdir@
-target_alias = @target_alias@
-top_build_prefix = @top_build_prefix@
-top_builddir = @top_builddir@
-top_srcdir = @top_srcdir@
-pkgexamplesdir = ${pkgdatadir}/examples
-dist_pkgexamples_DATA = ${EXFILES}
-EXFILES = \
-eq.doc eq2-145.ckt eq2-289.ckt eq2-577.ckt eq3-1153.ckt eq4-2305.ckt \
-eq4-4609.ckt eq4-6913.ckt eq4-9217.ckt eq4-9217.tran-slow.ckt \
-eq4-9217.tran.ckt eq5-.ac.ckt eq5-.tran.ckt eq5-.tran.euler.fast.ckt \
-eq5-.tran.fast.ckt eq5-.tran.like-spice.ckt eq5-.tran.slow.ckt \
-eq5-.tran.vfast.ckt eq5-.tran.vvfast.ckt eq5-.tran.vvvfast.ckt \
-eq6-.tran.vvvfast.ckt eq7-.tran.vvvfast.ckt eqboost.ckt eqflat.ckt \
-eqmodify.ckt killzap.ckt killzap.doc nmos.doc nmos100.ckt nmos15.ckt \
-nmos18.ckt nmos30.ckt nmosgen.c nmp100.ckt nmpgen.c opamp-ol.ckt \
-opamp-vf.ckt opamp.doc runall runall.out sc18.ckt
-
-all: all-am
-
-.SUFFIXES:
-$(srcdir)/Makefile.in: @MAINTAINER_MODE_TRUE@ $(srcdir)/Makefile.am  $(am__configure_deps)
-	@for dep in $?; do \
-	  case '$(am__configure_deps)' in \
-	    *$$dep*) \
-	      ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
-	        && { if test -f $@; then exit 0; else break; fi; }; \
-	      exit 1;; \
-	  esac; \
-	done; \
-	echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu examples/Makefile'; \
-	$(am__cd) $(top_srcdir) && \
-	  $(AUTOMAKE) --gnu examples/Makefile
-.PRECIOUS: Makefile
-Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
-	@case '$?' in \
-	  *config.status*) \
-	    cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
-	  *) \
-	    echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
-	    cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
-	esac;
-
-$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
-	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
-
-$(top_srcdir)/configure: @MAINTAINER_MODE_TRUE@ $(am__configure_deps)
-	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
-$(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
-	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
-$(am__aclocal_m4_deps):
-install-dist_pkgexamplesDATA: $(dist_pkgexamples_DATA)
-	@$(NORMAL_INSTALL)
-	test -z "$(pkgexamplesdir)" || $(MKDIR_P) "$(DESTDIR)$(pkgexamplesdir)"
-	@list='$(dist_pkgexamples_DATA)'; test -n "$(pkgexamplesdir)" || list=; \
-	for p in $$list; do \
-	  if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
-	  echo "$$d$$p"; \
-	done | $(am__base_list) | \
-	while read files; do \
-	  echo " $(INSTALL_DATA) $$files '$(DESTDIR)$(pkgexamplesdir)'"; \
-	  $(INSTALL_DATA) $$files "$(DESTDIR)$(pkgexamplesdir)" || exit $$?; \
-	done
-
-uninstall-dist_pkgexamplesDATA:
-	@$(NORMAL_UNINSTALL)
-	@list='$(dist_pkgexamples_DATA)'; test -n "$(pkgexamplesdir)" || list=; \
-	files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
-	test -n "$$files" || exit 0; \
-	echo " ( cd '$(DESTDIR)$(pkgexamplesdir)' && rm -f" $$files ")"; \
-	cd "$(DESTDIR)$(pkgexamplesdir)" && rm -f $$files
-tags: TAGS
-TAGS:
-
-ctags: CTAGS
-CTAGS:
-
-
-distdir: $(DISTFILES)
-	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
-	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
-	list='$(DISTFILES)'; \
-	  dist_files=`for file in $$list; do echo $$file; done | \
-	  sed -e "s|^$$srcdirstrip/||;t" \
-	      -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
-	case $$dist_files in \
-	  */*) $(MKDIR_P) `echo "$$dist_files" | \
-			   sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
-			   sort -u` ;; \
-	esac; \
-	for file in $$dist_files; do \
-	  if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
-	  if test -d $$d/$$file; then \
-	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
-	    if test -d "$(distdir)/$$file"; then \
-	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
-	    fi; \
-	    if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
-	      cp -fpR $(srcdir)/$$file "$(distdir)$$dir" || exit 1; \
-	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
-	    fi; \
-	    cp -fpR $$d/$$file "$(distdir)$$dir" || exit 1; \
-	  else \
-	    test -f "$(distdir)/$$file" \
-	    || cp -p $$d/$$file "$(distdir)/$$file" \
-	    || exit 1; \
-	  fi; \
-	done
-check-am: all-am
-check: check-am
-all-am: Makefile $(DATA)
-installdirs:
-	for dir in "$(DESTDIR)$(pkgexamplesdir)"; do \
-	  test -z "$$dir" || $(MKDIR_P) "$$dir"; \
-	done
-install: install-am
-install-exec: install-exec-am
-install-data: install-data-am
-uninstall: uninstall-am
-
-install-am: all-am
-	@$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
-
-installcheck: installcheck-am
-install-strip:
-	$(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
-	  install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
-	  `test -z '$(STRIP)' || \
-	    echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
-mostlyclean-generic:
-
-clean-generic:
-
-distclean-generic:
-	-test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
-	-test . = "$(srcdir)" || test -z "$(CONFIG_CLEAN_VPATH_FILES)" || rm -f $(CONFIG_CLEAN_VPATH_FILES)
-
-maintainer-clean-generic:
-	@echo "This command is intended for maintainers to use"
-	@echo "it deletes files that may require special tools to rebuild."
-clean: clean-am
-
-clean-am: clean-generic mostlyclean-am
-
-distclean: distclean-am
-	-rm -f Makefile
-distclean-am: clean-am distclean-generic
-
-dvi: dvi-am
-
-dvi-am:
-
-html: html-am
-
-html-am:
-
-info: info-am
-
-info-am:
-
-install-data-am: install-dist_pkgexamplesDATA
-
-install-dvi: install-dvi-am
-
-install-dvi-am:
-
-install-exec-am:
-
-install-html: install-html-am
-
-install-html-am:
-
-install-info: install-info-am
-
-install-info-am:
-
-install-man:
-
-install-pdf: install-pdf-am
-
-install-pdf-am:
-
-install-ps: install-ps-am
-
-install-ps-am:
-
-installcheck-am:
-
-maintainer-clean: maintainer-clean-am
-	-rm -f Makefile
-maintainer-clean-am: distclean-am maintainer-clean-generic
-
-mostlyclean: mostlyclean-am
-
-mostlyclean-am: mostlyclean-generic
-
-pdf: pdf-am
-
-pdf-am:
-
-ps: ps-am
-
-ps-am:
-
-uninstall-am: uninstall-dist_pkgexamplesDATA
-
-.MAKE: install-am install-strip
-
-.PHONY: all all-am check check-am clean clean-generic distclean \
-	distclean-generic distdir dvi dvi-am html html-am info info-am \
-	install install-am install-data install-data-am \
-	install-dist_pkgexamplesDATA install-dvi install-dvi-am \
-	install-exec install-exec-am install-html install-html-am \
-	install-info install-info-am install-man install-pdf \
-	install-pdf-am install-ps install-ps-am install-strip \
-	installcheck installcheck-am installdirs maintainer-clean \
-	maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
-	pdf-am ps ps-am uninstall uninstall-am \
-	uninstall-dist_pkgexamplesDATA
-
-
-# Tell versions [3.59,3.63) of GNU make to not export all variables.
-# Otherwise a system limit (for SysV at least) may be exceeded.
-.NOEXPORT:
diff --git a/examples/README b/examples/README
deleted file mode 100644
index 8f07c5c..0000000
--- a/examples/README
+++ /dev/null
@@ -1,15 +0,0 @@
-Here are some ACS example circuits.  Some of these will also run on
-Spice and can be used for comparison.
-
-You can run them in batch mode by typing "acs -b name" from the shell.
-You can run them interactively by starting acs then "get name".  Then
-play, change probes, rerun, change values, etc.
-
-The file "runall" is a script so you can automate the testing.  Read
-it before using it.
-
-"runall.out" is the result of running "runall".  Ideally, you should
-get identical results, but there are often small differences in the
-machine math functions that will give small differences in the
-results.  Any numerically significant difference represents a serious
-bug and should be addressed.
diff --git a/examples/eq.doc b/examples/eq.doc
deleted file mode 100644
index 8395c56..0000000
--- a/examples/eq.doc
+++ /dev/null
@@ -1,20 +0,0 @@
-There a whole bunch of "eq" circuits of various types and more
-are coming.
-
-This is a 10 band graphic equalizer that I have used as a benchmark
-since my early days of working on simulation.  I have it in more forms
-that are not here.  By using different models for op-amps, cascading
-them, and other tricks I have used it to test just about everything.
-This is a working product of my design that was (and may still be)
-very popular among record producers in the late 70's and early 80's.
-It was designed using a predecessor to ACS on a TRS-80.
-
-eqboost.ckt	the 1k band is boosted 12 db
-eqflat.ckt	all bands are set flat
-eqmodify.ckt	both.  run it batch.
-eq?-????.ckt	A bunch of them cascaded to test the sparse matrix.
-		Try these on Spice if you have lots of CPU time.
-		ACS time growth is almost linear.  Spice-2 growth is quadratic.
-		These probably won't work on a PC.
-		The biggest one has over 9000 nodes.
-		Don't bother with them on MSDOS.  Not enough memory.
diff --git a/examples/eq2-145.ckt b/examples/eq2-145.ckt
deleted file mode 100644
index c947981..0000000
--- a/examples/eq2-145.ckt
+++ /dev/null
@@ -1,97 +0,0 @@
-A 145 node circuit
-Vin 1 0 dc 1 ac 1
-x1 1 2 eq4
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-.print op iter(0) v(1) v(2)
-.print dc v(2)
-.print ac vm(2) vdb(2) vp(2)
-.dc Vin 1 10 1
-.ac oct 1 31.25 16000
-.end
diff --git a/examples/eq2-289.ckt b/examples/eq2-289.ckt
deleted file mode 100644
index a2c8c30..0000000
--- a/examples/eq2-289.ckt
+++ /dev/null
@@ -1,98 +0,0 @@
-A 289 node circuit
-Vin 1 0 dc 1 ac 1
-x1 1 2 eq4
-x2 2 3 eq4
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-.print op iter(0) v(1) v(2) v(3)
-.print dc v(2)
-.print ac vm(2) vdb(2) vp(2)
-.dc Vin 1 10 1
-.ac oct 1 31.25 16000
-.end
diff --git a/examples/eq2-577.ckt b/examples/eq2-577.ckt
deleted file mode 100644
index 3dcfff8..0000000
--- a/examples/eq2-577.ckt
+++ /dev/null
@@ -1,100 +0,0 @@
-A 577 node circuit
-Vin 1 0 dc 1 ac 1
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-.print op iter(0) v(1) v(2) v(3) v(4) v(5)
-.print dc v(2)
-.print ac vm(2) vdb(2) vp(2)
-.dc Vin 1 10 1
-.ac oct 1 31.25 16000
-.end
diff --git a/examples/eq3-1153.ckt b/examples/eq3-1153.ckt
deleted file mode 100644
index a9930d1..0000000
--- a/examples/eq3-1153.ckt
+++ /dev/null
@@ -1,107 +0,0 @@
-A 1153 node circuit
-Vin 1 0 dc 1 ac 1
-x1 1 2 eq32
-.subckt eq32 1 3
-x1 1 2 eq16
-x2 2 3 eq16
-.ends eq32
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-.print op iter(0) v(1) v(2)
-.print dc v(2)
-.print ac vm(2) vdb(2) vp(2)
-.dc Vin 1 10 1
-.ac oct 1 31.25 16000
-.end
diff --git a/examples/eq4-2305.ckt b/examples/eq4-2305.ckt
deleted file mode 100644
index 83b1d50..0000000
--- a/examples/eq4-2305.ckt
+++ /dev/null
@@ -1,109 +0,0 @@
-A 2305 node circuit
-Vin 1 0 dc 1 ac 1
-x1 1 2 eq64
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-.print op iter(0) v(1) v(2)
-.print dc v(2)
-.print ac vm(2) vdb(2) vp(2)
-.dc Vin 1 10 1
-.ac oct 1 31.25 16000
-.end
diff --git a/examples/eq4-4609.ckt b/examples/eq4-4609.ckt
deleted file mode 100644
index 35053b2..0000000
--- a/examples/eq4-4609.ckt
+++ /dev/null
@@ -1,110 +0,0 @@
-A 4609 node circuit
-Vin 1 0 dc 1 ac 1
-x1 1 2 eq64
-x2 2 3 eq64
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-.print op iter(0) v(1) v(2) v(3)
-.print dc v(2)
-.print ac vm(2) vdb(2) vp(2)
-.dc Vin 1 10 1
-.ac oct 1 31.25 16000
-.end
diff --git a/examples/eq4-6913.ckt b/examples/eq4-6913.ckt
deleted file mode 100644
index f5d6f9d..0000000
--- a/examples/eq4-6913.ckt
+++ /dev/null
@@ -1,111 +0,0 @@
-A 6913 node circuit
-Vin 1 0 dc 1 ac 1
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-.print op iter(0) v(1) v(2) v(3) v(4)
-.print dc v(2)
-.print ac vm(2) vdb(2) vp(2)
-.dc Vin 1 10 1
-.ac oct 1 31.25 16000
-.end
diff --git a/examples/eq4-9217.ckt b/examples/eq4-9217.ckt
deleted file mode 100644
index 85bf5c4..0000000
--- a/examples/eq4-9217.ckt
+++ /dev/null
@@ -1,112 +0,0 @@
-A 9217 node circuit
-Vin 1 0 dc 1 ac 1
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-.print op iter(0) v(1) v(2) v(3) v(4) v(5)
-.print dc v(2)
-.print ac vm(2) vdb(2) vp(2)
-.dc Vin 1 10 1
-.ac oct 1 31.25 16000
-.end
diff --git a/examples/eq4-9217.tran-slow.ckt b/examples/eq4-9217.tran-slow.ckt
deleted file mode 100644
index 440a346..0000000
--- a/examples/eq4-9217.tran-slow.ckt
+++ /dev/null
@@ -1,110 +0,0 @@
-A 9217 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall noincmode nobypass
-.print tran v(5)
-.tran .00001 .001 0
-.end
diff --git a/examples/eq4-9217.tran.ckt b/examples/eq4-9217.tran.ckt
deleted file mode 100644
index fd3c091..0000000
--- a/examples/eq4-9217.tran.ckt
+++ /dev/null
@@ -1,110 +0,0 @@
-A 9217 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall
-.print tran v(5)
-.tran .00001 .001 0
-.end
diff --git a/examples/eq5-.ac.ckt b/examples/eq5-.ac.ckt
deleted file mode 100644
index 35ef8a3..0000000
--- a/examples/eq5-.ac.ckt
+++ /dev/null
@@ -1,118 +0,0 @@
-A 36865 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0 ac 1
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall
-*>.print op v(nodes)
-.op
-.print ac v(5)
-.ac oct 2 31.25 16k
-.end
diff --git a/examples/eq5-.tran.ckt b/examples/eq5-.tran.ckt
deleted file mode 100644
index 44c7a77..0000000
--- a/examples/eq5-.tran.ckt
+++ /dev/null
@@ -1,116 +0,0 @@
-A 36865 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall
-.print tran v(5)
-.tran .00001 .001 0
-.end
diff --git a/examples/eq5-.tran.euler.fast.ckt b/examples/eq5-.tran.euler.fast.ckt
deleted file mode 100644
index 071cd32..0000000
--- a/examples/eq5-.tran.euler.fast.ckt
+++ /dev/null
@@ -1,116 +0,0 @@
-A 36865 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall itermin=0 method=euler
-.print tran v(5)
-.tran .00001 .001 0
-.end
diff --git a/examples/eq5-.tran.fast.ckt b/examples/eq5-.tran.fast.ckt
deleted file mode 100644
index 6ab8f5e..0000000
--- a/examples/eq5-.tran.fast.ckt
+++ /dev/null
@@ -1,116 +0,0 @@
-A 36865 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall itermin=0
-.print tran v(5)
-.tran .00001 .001 0
-.end
diff --git a/examples/eq5-.tran.like-spice.ckt b/examples/eq5-.tran.like-spice.ckt
deleted file mode 100644
index 1ad54fb..0000000
--- a/examples/eq5-.tran.like-spice.ckt
+++ /dev/null
@@ -1,117 +0,0 @@
-A 36865 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall noincmode nobypass notraceload nolubypass nofbbypass
-*>.option itermin=0
-.print tran v(5)
-.tran .00002 .001 0
-.end
diff --git a/examples/eq5-.tran.slow.ckt b/examples/eq5-.tran.slow.ckt
deleted file mode 100644
index 35222a6..0000000
--- a/examples/eq5-.tran.slow.ckt
+++ /dev/null
@@ -1,116 +0,0 @@
-A 36865 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall noincmode nobypass notraceload nolubypass nofbbypass
-.print tran v(5)
-.tran .00001 .001 0
-.end
diff --git a/examples/eq5-.tran.vfast.ckt b/examples/eq5-.tran.vfast.ckt
deleted file mode 100644
index 4dcf6b4..0000000
--- a/examples/eq5-.tran.vfast.ckt
+++ /dev/null
@@ -1,116 +0,0 @@
-A 36865 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall itermin=0
-.print tran v(5)
-.tran .00002 .001 0
-.end
diff --git a/examples/eq5-.tran.vvfast.ckt b/examples/eq5-.tran.vvfast.ckt
deleted file mode 100644
index 458d150..0000000
--- a/examples/eq5-.tran.vvfast.ckt
+++ /dev/null
@@ -1,116 +0,0 @@
-A 36865 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall itermin=0
-.print tran v(5)
-.tran .00005 .001 0
-.end
diff --git a/examples/eq5-.tran.vvvfast.ckt b/examples/eq5-.tran.vvvfast.ckt
deleted file mode 100644
index 46dd3ad..0000000
--- a/examples/eq5-.tran.vvvfast.ckt
+++ /dev/null
@@ -1,116 +0,0 @@
-A 36865 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall itermin=0 method=euler
-.print tran v(5)
-.tran .00005 .001 0
-.end
diff --git a/examples/eq6-.tran.vvvfast.ckt b/examples/eq6-.tran.vvvfast.ckt
deleted file mode 100644
index 4516ac2..0000000
--- a/examples/eq6-.tran.vvvfast.ckt
+++ /dev/null
@@ -1,122 +0,0 @@
-A 147457 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq1024
-x2 2 3 eq1024
-x3 3 4 eq1024
-x4 4 5 eq1024
-.subckt eq1024 1 5
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.ends eq1024
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall itermin=0 method=euler
-.print tran v(5)
-.tran .00005 .001 0
-.end
diff --git a/examples/eq7-.tran.default.ckt b/examples/eq7-.tran.default.ckt
deleted file mode 100644
index 9805065..0000000
--- a/examples/eq7-.tran.default.ckt
+++ /dev/null
@@ -1,128 +0,0 @@
-A 589825 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq4096
-x2 2 3 eq4096
-x3 3 4 eq4096
-x4 4 5 eq4096
-.subckt eq4096 1 5
-x1 1 2 eq1024
-x2 2 3 eq1024
-x3 3 4 eq1024
-x4 4 5 eq1024
-.ends eq4096
-.subckt eq1024 1 5
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.ends eq1024
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall
-.print tran v(5)
-.tran .00005 .001 0
-.end
diff --git a/examples/eq7-.tran.nobypass.ckt b/examples/eq7-.tran.nobypass.ckt
deleted file mode 100644
index 6608ee0..0000000
--- a/examples/eq7-.tran.nobypass.ckt
+++ /dev/null
@@ -1,128 +0,0 @@
-A 589825 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq4096
-x2 2 3 eq4096
-x3 3 4 eq4096
-x4 4 5 eq4096
-.subckt eq4096 1 5
-x1 1 2 eq1024
-x2 2 3 eq1024
-x3 3 4 eq1024
-x4 4 5 eq1024
-.ends eq4096
-.subckt eq1024 1 5
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.ends eq1024
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall nobypass
-.print tran v(5)
-.tran .00005 .001 0
-.end
diff --git a/examples/eq7-.tran.nofbbypass.ckt b/examples/eq7-.tran.nofbbypass.ckt
deleted file mode 100644
index 593f722..0000000
--- a/examples/eq7-.tran.nofbbypass.ckt
+++ /dev/null
@@ -1,128 +0,0 @@
-A 589825 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq4096
-x2 2 3 eq4096
-x3 3 4 eq4096
-x4 4 5 eq4096
-.subckt eq4096 1 5
-x1 1 2 eq1024
-x2 2 3 eq1024
-x3 3 4 eq1024
-x4 4 5 eq1024
-.ends eq4096
-.subckt eq1024 1 5
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.ends eq1024
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall nofbbypass
-.print tran v(5)
-.tran .00005 .001 0
-.end
diff --git a/examples/eq7-.tran.noincmode.ckt b/examples/eq7-.tran.noincmode.ckt
deleted file mode 100644
index e6cb3b8..0000000
--- a/examples/eq7-.tran.noincmode.ckt
+++ /dev/null
@@ -1,128 +0,0 @@
-A 589825 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq4096
-x2 2 3 eq4096
-x3 3 4 eq4096
-x4 4 5 eq4096
-.subckt eq4096 1 5
-x1 1 2 eq1024
-x2 2 3 eq1024
-x3 3 4 eq1024
-x4 4 5 eq1024
-.ends eq4096
-.subckt eq1024 1 5
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.ends eq1024
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall noincmode
-.print tran v(5)
-.tran .00005 .001 0
-.end
diff --git a/examples/eq7-.tran.nolcbypass.ckt b/examples/eq7-.tran.nolcbypass.ckt
deleted file mode 100644
index dd86f60..0000000
--- a/examples/eq7-.tran.nolcbypass.ckt
+++ /dev/null
@@ -1,128 +0,0 @@
-A 589825 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq4096
-x2 2 3 eq4096
-x3 3 4 eq4096
-x4 4 5 eq4096
-.subckt eq4096 1 5
-x1 1 2 eq1024
-x2 2 3 eq1024
-x3 3 4 eq1024
-x4 4 5 eq1024
-.ends eq4096
-.subckt eq1024 1 5
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.ends eq1024
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall nolcbypass
-.print tran v(5)
-.tran .00005 .001 0
-.end
diff --git a/examples/eq7-.tran.nolubypass.ckt b/examples/eq7-.tran.nolubypass.ckt
deleted file mode 100644
index d6d031f..0000000
--- a/examples/eq7-.tran.nolubypass.ckt
+++ /dev/null
@@ -1,128 +0,0 @@
-A 589825 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq4096
-x2 2 3 eq4096
-x3 3 4 eq4096
-x4 4 5 eq4096
-.subckt eq4096 1 5
-x1 1 2 eq1024
-x2 2 3 eq1024
-x3 3 4 eq1024
-x4 4 5 eq1024
-.ends eq4096
-.subckt eq1024 1 5
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.ends eq1024
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall nolubypass
-.print tran v(5)
-.tran .00005 .001 0
-.end
diff --git a/examples/eq7-.tran.notraceload.ckt b/examples/eq7-.tran.notraceload.ckt
deleted file mode 100644
index f45c3ad..0000000
--- a/examples/eq7-.tran.notraceload.ckt
+++ /dev/null
@@ -1,128 +0,0 @@
-A 589825 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq4096
-x2 2 3 eq4096
-x3 3 4 eq4096
-x4 4 5 eq4096
-.subckt eq4096 1 5
-x1 1 2 eq1024
-x2 2 3 eq1024
-x3 3 4 eq1024
-x4 4 5 eq1024
-.ends eq4096
-.subckt eq1024 1 5
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.ends eq1024
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall notraceload
-.print tran v(5)
-.tran .00005 .001 0
-.end
diff --git a/examples/eq7-.tran.slow.ckt b/examples/eq7-.tran.slow.ckt
deleted file mode 100644
index 78bb52d..0000000
--- a/examples/eq7-.tran.slow.ckt
+++ /dev/null
@@ -1,128 +0,0 @@
-A 589825 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq4096
-x2 2 3 eq4096
-x3 3 4 eq4096
-x4 4 5 eq4096
-.subckt eq4096 1 5
-x1 1 2 eq1024
-x2 2 3 eq1024
-x3 3 4 eq1024
-x4 4 5 eq1024
-.ends eq4096
-.subckt eq1024 1 5
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.ends eq1024
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall nobypass noincmode nolcbypass nolubypass nofbbypass notraceload
-.print tran v(5)
-.tran .00005 .001 0
-.end
diff --git a/examples/eq7-.tran.spice-accurate.ckt b/examples/eq7-.tran.spice-accurate.ckt
deleted file mode 100644
index a8f0dd7..0000000
--- a/examples/eq7-.tran.spice-accurate.ckt
+++ /dev/null
@@ -1,128 +0,0 @@
-A 589825 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq4096
-x2 2 3 eq4096
-x3 3 4 eq4096
-x4 4 5 eq4096
-.subckt eq4096 1 5
-x1 1 2 eq1024
-x2 2 3 eq1024
-x3 3 4 eq1024
-x4 4 5 eq1024
-.ends eq4096
-.subckt eq1024 1 5
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.ends eq1024
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall itermin=0
-.print tran v(5)
-.tran .00005 .001 0
-.end
diff --git a/examples/eq7-.tran.vvvfast.ckt b/examples/eq7-.tran.vvvfast.ckt
deleted file mode 100644
index 33e213f..0000000
--- a/examples/eq7-.tran.vvvfast.ckt
+++ /dev/null
@@ -1,128 +0,0 @@
-A 589825 node circuit
-Vin 1 0 dc sin 0 1 1k 0 0
-x1 1 2 eq4096
-x2 2 3 eq4096
-x3 3 4 eq4096
-x4 4 5 eq4096
-.subckt eq4096 1 5
-x1 1 2 eq1024
-x2 2 3 eq1024
-x3 3 4 eq1024
-x4 4 5 eq1024
-.ends eq4096
-.subckt eq1024 1 5
-x1 1 2 eq256
-x2 2 3 eq256
-x3 3 4 eq256
-x4 4 5 eq256
-.ends eq1024
-.subckt eq256 1 5
-x1 1 2 eq64
-x2 2 3 eq64
-x3 3 4 eq64
-x4 4 5 eq64
-.ends eq256
-.subckt eq64 1 5
-x1 1 2 eq16
-x2 2 3 eq16
-x3 3 4 eq16
-x4 4 5 eq16
-.ends eq64
-.subckt eq16 1 5
-x1 1 2 eq4
-x2 2 3 eq4
-x3 3 4 eq4
-x4 4 5 eq4
-.ends eq16
-.subckt eq4 1 5
-x1 1 2 eq
-x2 2 3 eq
-x3 3 4 eq
-x4 4 5 eq
-.ends eq4
-.subckt eq 31 37
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.ends eq
-*>.option acct showall itermin=0 method=euler
-.print tran v(5)
-.tran .00005 .001 0
-.end
diff --git a/examples/eqboost.ckt b/examples/eqboost.ckt
deleted file mode 100644
index 22b5951..0000000
--- a/examples/eqboost.ckt
+++ /dev/null
@@ -1,85 +0,0 @@
-Graphic equalizer -- boost 1k
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  100.K
-R106b   33  16  1
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-Vin    31   0  dc 1 ac 1
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.print ac vdb(37) vdb(31) vdb(34)
-.ac oct 4 31.25 32k
-.end
diff --git a/examples/eqflat.ckt b/examples/eqflat.ckt
deleted file mode 100644
index c5edfdf..0000000
--- a/examples/eqflat.ckt
+++ /dev/null
@@ -1,85 +0,0 @@
-Graphic equalizer -- all bands flat, modify test
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-Vin    31   0  dc 1 ac 1
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.print ac vdb(37) vdb(31) vdb(34)
-.ac oct 4 31.25 32k
-.end
diff --git a/examples/eqmodify.ckt b/examples/eqmodify.ckt
deleted file mode 100644
index 3254598..0000000
--- a/examples/eqmodify.ckt
+++ /dev/null
@@ -1,88 +0,0 @@
-Graphic equalizer -- all bands flat, modify test
-R101a   35   1  50.K
-R101b   36   1  50.K
-R102a   32   4  50.K
-R102b   33   4  50.K
-R103a   35   7  50.K
-R103b   36   7  50.K
-R104a   32  10  50.K
-R104b   33  10  50.K
-R105a   35  13  50.K
-R105b   36  13  50.K
-R106a   32  16  50.K
-R106b   33  16  50.K
-R107a   35  19  50.K
-R107b   36  19  50.K
-R108a   32  22  50.K
-R108b   33  22  50.K
-R109a   35  25  50.K
-R109b   36  25  50.K
-R110a   32  28  50.K
-R110b   33  28  50.K
-C1      1   2  1.5u
-C2      4   5  748.n
-C3      7   8  408.n
-C4     10  11  206.n
-C5     13  14  100.n
-C6     16  17  50.9n
-C7     19  20  25.3n
-C8     22  23  12.7n
-C9     25  26  5.9n
-C10    28  29  2.95n
-C11     2   3  15.n
-C12     5   6  6.8n
-C13     8   9  3.3n
-C14    11  12  1.8n
-C15    14  15  1.n
-C16    17  18  470.p
-C17    20  21  220.p
-C18    23  24  120.p
-C19    26  27  68.p
-C20    29  30  33.p
-R1      3   0  475.K
-R2      6   0  536.K
-R3      9   0  549.K
-R4     12   0  499.K
-R5     15   0  464.K
-R6     18   0  475.K
-R7     21   0  523.K
-R8     24   0  475.K
-R9     27   0  412.K
-R10    30   0  422.K
-G5a     2   0   3   0   -.000416666
-R11     2   0  2.4K
-G5b     5   0   6   0   -.000416666
-R12     5   0  2.4K
-G6a     8   0   9   0   -.000454545
-R13     8   0  2.2K
-G6b    11   0  12   0   -.000454545
-R14    11   0  2.2K
-G7a    14   0  15   0   -.000454545
-R15    14   0  2.2K
-G7b    17   0  18   0   -.000454545
-R16    17   0  2.2K
-G8a    20   0  21   0   -.000454545
-R17    20   0  2.2K
-G8b    23   0  24   0   -.000454545
-R18    23   0  2.2K
-G9a    26   0  27   0   -.000416666
-R19    26   0  2.4K
-G9b    29   0  30   0   -.000416666
-R20    29   0  2.4K
-R29    31  32  9.1K
-R30    33  34  9.1K
-R31    34  35  9.1K
-R32    36  37  9.1K
-C25    31  32  150.p
-C26    33  34  150.p
-C27    34  35  150.p
-C28    36  37  150.p
-Vin    31   0  dc 1 ac 1
-E2     34   0  32  33  10.K
-E3     37   0  35  36  10.K
-.print ac vdb(37) vdb(31) vdb(34)
-.ac oct 4 31.25 32k
-.modify R106a=100k
-.modify R106b=1
-.ac
-.end
diff --git a/examples/killzap.ckt b/examples/killzap.ckt
deleted file mode 100644
index 9784d0a..0000000
--- a/examples/killzap.ckt
+++ /dev/null
@@ -1,8 +0,0 @@
-'
-V1   1  0  ac 1.
-R2   1  2  1.
-C3   2  0  complex( 0.  1. ) 
-.print ac vm(2) vdb(2) vp(2)
-.ac 1p 1g dec
-.ac .1 .2 .005
-.end
diff --git a/examples/killzap.doc b/examples/killzap.doc
deleted file mode 100644
index 64b6d5e..0000000
--- a/examples/killzap.doc
+++ /dev/null
@@ -1,9 +0,0 @@
-This "circuit" has a transfer function of 1 / (js+1).
-There is one complex pole at (-1,1).
-The capacitor value is j1.
-It has an interesting frequency response.
-
-The original purpose was to trick another program "zap"
-(zeros and poles) into giving bogus answers.  It did!!
-We all know that poles come in conjugate pairs.....
-AC only!
diff --git a/examples/nmos.doc b/examples/nmos.doc
deleted file mode 100644
index d9a3d50..0000000
--- a/examples/nmos.doc
+++ /dev/null
@@ -1,28 +0,0 @@
-Here are a few strings of NMOS inverters.
-NMOS is harder to simulate than CMOS.
-
-nmos22.ckt	all one power supply
-nmos30.ckt
-nmos100.ckt
-nmp100.ckt	separate power supplies
-
-The number is the number of gates.   
-
-Power supply coupling can hinder convergence, so the big circuits
-have separate power supplies for each gate.  At 1000 gates it makes
-the difference between convergence and non-convergence.  At 100
-gates, it slows it down a bit but doesn't change the number of
-iterations.  The latency exploitation doesn't work as well with
-common power supplies.  The reason for the problem is that the
-voltage source in ACS is non-ideal.  A future release will have an
-ideal voltage source.
-
-Still... Spice 2g6 doesn't converge on either 100 gate circuit.
-
-Another point is that these large circuits show why ACS doesn't
-automatically print everything when you do an "op" analysis.
-Everything Spice gives you is available.  We just don't print it
-automatically.
-
-The two .c files nmosgen.c and nmpgen.c are what I used to generate
-these circuits.
diff --git a/examples/nmos100.ckt b/examples/nmos100.ckt
deleted file mode 100644
index ef12772..0000000
--- a/examples/nmos100.ckt
+++ /dev/null
@@ -1,209 +0,0 @@
-100 cascaded NMOS inverters
-md1  3 2 0 0  modeld w=10u l=2u
-ml1  1 1 3 0  modell w=2u l=2u
-md2  4 3 0 0  modeld w=10u l=2u
-ml2  1 1 4 0  modell w=2u l=2u
-md3  5 4 0 0  modeld w=10u l=2u
-ml3  1 1 5 0  modell w=2u l=2u
-md4  6 5 0 0  modeld w=10u l=2u
-ml4  1 1 6 0  modell w=2u l=2u
-md5  7 6 0 0  modeld w=10u l=2u
-ml5  1 1 7 0  modell w=2u l=2u
-md6  8 7 0 0  modeld w=10u l=2u
-ml6  1 1 8 0  modell w=2u l=2u
-md7  9 8 0 0  modeld w=10u l=2u
-ml7  1 1 9 0  modell w=2u l=2u
-md8  10 9 0 0  modeld w=10u l=2u
-ml8  1 1 10 0  modell w=2u l=2u
-md9  11 10 0 0  modeld w=10u l=2u
-ml9  1 1 11 0  modell w=2u l=2u
-md10  12 11 0 0  modeld w=10u l=2u
-ml10  1 1 12 0  modell w=2u l=2u
-md11  13 12 0 0  modeld w=10u l=2u
-ml11  1 1 13 0  modell w=2u l=2u
-md12  14 13 0 0  modeld w=10u l=2u
-ml12  1 1 14 0  modell w=2u l=2u
-md13  15 14 0 0  modeld w=10u l=2u
-ml13  1 1 15 0  modell w=2u l=2u
-md14  16 15 0 0  modeld w=10u l=2u
-ml14  1 1 16 0  modell w=2u l=2u
-md15  17 16 0 0  modeld w=10u l=2u
-ml15  1 1 17 0  modell w=2u l=2u
-md16  18 17 0 0  modeld w=10u l=2u
-ml16  1 1 18 0  modell w=2u l=2u
-md17  19 18 0 0  modeld w=10u l=2u
-ml17  1 1 19 0  modell w=2u l=2u
-md18  20 19 0 0  modeld w=10u l=2u
-ml18  1 1 20 0  modell w=2u l=2u
-md19  21 20 0 0  modeld w=10u l=2u
-ml19  1 1 21 0  modell w=2u l=2u
-md20  22 21 0 0  modeld w=10u l=2u
-ml20  1 1 22 0  modell w=2u l=2u
-md21  23 22 0 0  modeld w=10u l=2u
-ml21  1 1 23 0  modell w=2u l=2u
-md22  24 23 0 0  modeld w=10u l=2u
-ml22  1 1 24 0  modell w=2u l=2u
-md23  25 24 0 0  modeld w=10u l=2u
-ml23  1 1 25 0  modell w=2u l=2u
-md24  26 25 0 0  modeld w=10u l=2u
-ml24  1 1 26 0  modell w=2u l=2u
-md25  27 26 0 0  modeld w=10u l=2u
-ml25  1 1 27 0  modell w=2u l=2u
-md26  28 27 0 0  modeld w=10u l=2u
-ml26  1 1 28 0  modell w=2u l=2u
-md27  29 28 0 0  modeld w=10u l=2u
-ml27  1 1 29 0  modell w=2u l=2u
-md28  30 29 0 0  modeld w=10u l=2u
-ml28  1 1 30 0  modell w=2u l=2u
-md29  31 30 0 0  modeld w=10u l=2u
-ml29  1 1 31 0  modell w=2u l=2u
-md30  32 31 0 0  modeld w=10u l=2u
-ml30  1 1 32 0  modell w=2u l=2u
-md31  33 32 0 0  modeld w=10u l=2u
-ml31  1 1 33 0  modell w=2u l=2u
-md32  34 33 0 0  modeld w=10u l=2u
-ml32  1 1 34 0  modell w=2u l=2u
-md33  35 34 0 0  modeld w=10u l=2u
-ml33  1 1 35 0  modell w=2u l=2u
-md34  36 35 0 0  modeld w=10u l=2u
-ml34  1 1 36 0  modell w=2u l=2u
-md35  37 36 0 0  modeld w=10u l=2u
-ml35  1 1 37 0  modell w=2u l=2u
-md36  38 37 0 0  modeld w=10u l=2u
-ml36  1 1 38 0  modell w=2u l=2u
-md37  39 38 0 0  modeld w=10u l=2u
-ml37  1 1 39 0  modell w=2u l=2u
-md38  40 39 0 0  modeld w=10u l=2u
-ml38  1 1 40 0  modell w=2u l=2u
-md39  41 40 0 0  modeld w=10u l=2u
-ml39  1 1 41 0  modell w=2u l=2u
-md40  42 41 0 0  modeld w=10u l=2u
-ml40  1 1 42 0  modell w=2u l=2u
-md41  43 42 0 0  modeld w=10u l=2u
-ml41  1 1 43 0  modell w=2u l=2u
-md42  44 43 0 0  modeld w=10u l=2u
-ml42  1 1 44 0  modell w=2u l=2u
-md43  45 44 0 0  modeld w=10u l=2u
-ml43  1 1 45 0  modell w=2u l=2u
-md44  46 45 0 0  modeld w=10u l=2u
-ml44  1 1 46 0  modell w=2u l=2u
-md45  47 46 0 0  modeld w=10u l=2u
-ml45  1 1 47 0  modell w=2u l=2u
-md46  48 47 0 0  modeld w=10u l=2u
-ml46  1 1 48 0  modell w=2u l=2u
-md47  49 48 0 0  modeld w=10u l=2u
-ml47  1 1 49 0  modell w=2u l=2u
-md48  50 49 0 0  modeld w=10u l=2u
-ml48  1 1 50 0  modell w=2u l=2u
-md49  51 50 0 0  modeld w=10u l=2u
-ml49  1 1 51 0  modell w=2u l=2u
-md50  52 51 0 0  modeld w=10u l=2u
-ml50  1 1 52 0  modell w=2u l=2u
-md51  53 52 0 0  modeld w=10u l=2u
-ml51  1 1 53 0  modell w=2u l=2u
-md52  54 53 0 0  modeld w=10u l=2u
-ml52  1 1 54 0  modell w=2u l=2u
-md53  55 54 0 0  modeld w=10u l=2u
-ml53  1 1 55 0  modell w=2u l=2u
-md54  56 55 0 0  modeld w=10u l=2u
-ml54  1 1 56 0  modell w=2u l=2u
-md55  57 56 0 0  modeld w=10u l=2u
-ml55  1 1 57 0  modell w=2u l=2u
-md56  58 57 0 0  modeld w=10u l=2u
-ml56  1 1 58 0  modell w=2u l=2u
-md57  59 58 0 0  modeld w=10u l=2u
-ml57  1 1 59 0  modell w=2u l=2u
-md58  60 59 0 0  modeld w=10u l=2u
-ml58  1 1 60 0  modell w=2u l=2u
-md59  61 60 0 0  modeld w=10u l=2u
-ml59  1 1 61 0  modell w=2u l=2u
-md60  62 61 0 0  modeld w=10u l=2u
-ml60  1 1 62 0  modell w=2u l=2u
-md61  63 62 0 0  modeld w=10u l=2u
-ml61  1 1 63 0  modell w=2u l=2u
-md62  64 63 0 0  modeld w=10u l=2u
-ml62  1 1 64 0  modell w=2u l=2u
-md63  65 64 0 0  modeld w=10u l=2u
-ml63  1 1 65 0  modell w=2u l=2u
-md64  66 65 0 0  modeld w=10u l=2u
-ml64  1 1 66 0  modell w=2u l=2u
-md65  67 66 0 0  modeld w=10u l=2u
-ml65  1 1 67 0  modell w=2u l=2u
-md66  68 67 0 0  modeld w=10u l=2u
-ml66  1 1 68 0  modell w=2u l=2u
-md67  69 68 0 0  modeld w=10u l=2u
-ml67  1 1 69 0  modell w=2u l=2u
-md68  70 69 0 0  modeld w=10u l=2u
-ml68  1 1 70 0  modell w=2u l=2u
-md69  71 70 0 0  modeld w=10u l=2u
-ml69  1 1 71 0  modell w=2u l=2u
-md70  72 71 0 0  modeld w=10u l=2u
-ml70  1 1 72 0  modell w=2u l=2u
-md71  73 72 0 0  modeld w=10u l=2u
-ml71  1 1 73 0  modell w=2u l=2u
-md72  74 73 0 0  modeld w=10u l=2u
-ml72  1 1 74 0  modell w=2u l=2u
-md73  75 74 0 0  modeld w=10u l=2u
-ml73  1 1 75 0  modell w=2u l=2u
-md74  76 75 0 0  modeld w=10u l=2u
-ml74  1 1 76 0  modell w=2u l=2u
-md75  77 76 0 0  modeld w=10u l=2u
-ml75  1 1 77 0  modell w=2u l=2u
-md76  78 77 0 0  modeld w=10u l=2u
-ml76  1 1 78 0  modell w=2u l=2u
-md77  79 78 0 0  modeld w=10u l=2u
-ml77  1 1 79 0  modell w=2u l=2u
-md78  80 79 0 0  modeld w=10u l=2u
-ml78  1 1 80 0  modell w=2u l=2u
-md79  81 80 0 0  modeld w=10u l=2u
-ml79  1 1 81 0  modell w=2u l=2u
-md80  82 81 0 0  modeld w=10u l=2u
-ml80  1 1 82 0  modell w=2u l=2u
-md81  83 82 0 0  modeld w=10u l=2u
-ml81  1 1 83 0  modell w=2u l=2u
-md82  84 83 0 0  modeld w=10u l=2u
-ml82  1 1 84 0  modell w=2u l=2u
-md83  85 84 0 0  modeld w=10u l=2u
-ml83  1 1 85 0  modell w=2u l=2u
-md84  86 85 0 0  modeld w=10u l=2u
-ml84  1 1 86 0  modell w=2u l=2u
-md85  87 86 0 0  modeld w=10u l=2u
-ml85  1 1 87 0  modell w=2u l=2u
-md86  88 87 0 0  modeld w=10u l=2u
-ml86  1 1 88 0  modell w=2u l=2u
-md87  89 88 0 0  modeld w=10u l=2u
-ml87  1 1 89 0  modell w=2u l=2u
-md88  90 89 0 0  modeld w=10u l=2u
-ml88  1 1 90 0  modell w=2u l=2u
-md89  91 90 0 0  modeld w=10u l=2u
-ml89  1 1 91 0  modell w=2u l=2u
-md90  92 91 0 0  modeld w=10u l=2u
-ml90  1 1 92 0  modell w=2u l=2u
-md91  93 92 0 0  modeld w=10u l=2u
-ml91  1 1 93 0  modell w=2u l=2u
-md92  94 93 0 0  modeld w=10u l=2u
-ml92  1 1 94 0  modell w=2u l=2u
-md93  95 94 0 0  modeld w=10u l=2u
-ml93  1 1 95 0  modell w=2u l=2u
-md94  96 95 0 0  modeld w=10u l=2u
-ml94  1 1 96 0  modell w=2u l=2u
-md95  97 96 0 0  modeld w=10u l=2u
-ml95  1 1 97 0  modell w=2u l=2u
-md96  98 97 0 0  modeld w=10u l=2u
-ml96  1 1 98 0  modell w=2u l=2u
-md97  99 98 0 0  modeld w=10u l=2u
-ml97  1 1 99 0  modell w=2u l=2u
-md98  100 99 0 0  modeld w=10u l=2u
-ml98  1 1 100 0  modell w=2u l=2u
-md99  101 100 0 0  modeld w=10u l=2u
-ml99  1 1 101 0  modell w=2u l=2u
-md100  102 101 0 0  modeld w=10u l=2u
-ml100  1 1 102 0  modell w=2u l=2u
-vdd 1 0 5
-vin 2 0 .8
-.MODEL MODELD NMOS (level=2 KP=28U VTO=0.7 LAMBDA=0.01 GAMMA=0.9 PHI=0.5)
-.MODEL MODELL NMOS (level=2 KP=28U VTO=0.7 LAMBDA=0.01 GAMMA=0.9 PHI=0.5)
-.PRINT OP iter(0) V(nodes)
-.option vmin=-.1 short=1u dampstrategy=10
-.op
-.end
diff --git a/examples/nmos15.ckt b/examples/nmos15.ckt
deleted file mode 100644
index 35262d2..0000000
--- a/examples/nmos15.ckt
+++ /dev/null
@@ -1,38 +0,0 @@
-15 cascaded NMOS inverters
-md1  3 2 0 0  modeld w=10u l=2u
-ml1  1 1 3 0  modell w=2u l=2u
-md2  4 3 0 0  modeld w=10u l=2u
-ml2  1 1 4 0  modell w=2u l=2u
-md3  5 4 0 0  modeld w=10u l=2u
-ml3  1 1 5 0  modell w=2u l=2u
-md4  6 5 0 0  modeld w=10u l=2u
-ml4  1 1 6 0  modell w=2u l=2u
-md5  7 6 0 0  modeld w=10u l=2u
-ml5  1 1 7 0  modell w=2u l=2u
-md6  8 7 0 0  modeld w=10u l=2u
-ml6  1 1 8 0  modell w=2u l=2u
-md7  9 8 0 0  modeld w=10u l=2u
-ml7  1 1 9 0  modell w=2u l=2u
-md8  10 9 0 0  modeld w=10u l=2u
-ml8  1 1 10 0  modell w=2u l=2u
-md9  11 10 0 0  modeld w=10u l=2u
-ml9  1 1 11 0  modell w=2u l=2u
-md10  12 11 0 0  modeld w=10u l=2u
-ml10  1 1 12 0  modell w=2u l=2u
-md11  13 12 0 0  modeld w=10u l=2u
-ml11  1 1 13 0  modell w=2u l=2u
-md12  14 13 0 0  modeld w=10u l=2u
-ml12  1 1 14 0  modell w=2u l=2u
-md13  15 14 0 0  modeld w=10u l=2u
-ml13  1 1 15 0  modell w=2u l=2u
-md14  16 15 0 0  modeld w=10u l=2u
-ml14  1 1 16 0  modell w=2u l=2u
-md15  17 16 0 0  modeld w=10u l=2u
-ml15  1 1 17 0  modell w=2u l=2u
-vdd 1 0 5
-vin 2 0 .8
-.MODEL MODELD NMOS (level=2 KP=28U VTO=0.7 LAMBDA=0.01 GAMMA=0.9 PHI=0.5)
-.MODEL MODELL NMOS (level=2 KP=28U VTO=0.7 LAMBDA=0.01 GAMMA=0.9 PHI=0.5)
-.PRINT OP iter(0) V(nodes)
-.op
-.end
diff --git a/examples/nmos18.ckt b/examples/nmos18.ckt
deleted file mode 100644
index d3c9e47..0000000
--- a/examples/nmos18.ckt
+++ /dev/null
@@ -1,44 +0,0 @@
-18 cascaded NMOS inverters
-md1  3 2 0 0  modeld w=10u l=2u
-ml1  1 1 3 0  modell w=2u l=2u
-md2  4 3 0 0  modeld w=10u l=2u
-ml2  1 1 4 0  modell w=2u l=2u
-md3  5 4 0 0  modeld w=10u l=2u
-ml3  1 1 5 0  modell w=2u l=2u
-md4  6 5 0 0  modeld w=10u l=2u
-ml4  1 1 6 0  modell w=2u l=2u
-md5  7 6 0 0  modeld w=10u l=2u
-ml5  1 1 7 0  modell w=2u l=2u
-md6  8 7 0 0  modeld w=10u l=2u
-ml6  1 1 8 0  modell w=2u l=2u
-md7  9 8 0 0  modeld w=10u l=2u
-ml7  1 1 9 0  modell w=2u l=2u
-md8  10 9 0 0  modeld w=10u l=2u
-ml8  1 1 10 0  modell w=2u l=2u
-md9  11 10 0 0  modeld w=10u l=2u
-ml9  1 1 11 0  modell w=2u l=2u
-md10  12 11 0 0  modeld w=10u l=2u
-ml10  1 1 12 0  modell w=2u l=2u
-md11  13 12 0 0  modeld w=10u l=2u
-ml11  1 1 13 0  modell w=2u l=2u
-md12  14 13 0 0  modeld w=10u l=2u
-ml12  1 1 14 0  modell w=2u l=2u
-md13  15 14 0 0  modeld w=10u l=2u
-ml13  1 1 15 0  modell w=2u l=2u
-md14  16 15 0 0  modeld w=10u l=2u
-ml14  1 1 16 0  modell w=2u l=2u
-md15  17 16 0 0  modeld w=10u l=2u
-ml15  1 1 17 0  modell w=2u l=2u
-md16  18 17 0 0  modeld w=10u l=2u
-ml16  1 1 18 0  modell w=2u l=2u
-md17  19 18 0 0  modeld w=10u l=2u
-ml17  1 1 19 0  modell w=2u l=2u
-md18  20 19 0 0  modeld w=10u l=2u
-ml18  1 1 20 0  modell w=2u l=2u
-vdd 1 0 5
-vin 2 0 .8
-.MODEL MODELD NMOS (level=2 KP=28U VTO=0.7 LAMBDA=0.01 GAMMA=0.9 PHI=0.5)
-.MODEL MODELL NMOS (level=2 KP=28U VTO=0.7 LAMBDA=0.01 GAMMA=0.9 PHI=0.5)
-.PRINT OP iter(0) V(nodes)
-.op
-.end
diff --git a/examples/nmos30.ckt b/examples/nmos30.ckt
deleted file mode 100644
index 90595c7..0000000
--- a/examples/nmos30.ckt
+++ /dev/null
@@ -1,68 +0,0 @@
-30 cascaded NMOS inverters
-md1  3 2 0 0  modeld w=10u l=2u
-ml1  1 1 3 0  modell w=2u l=2u
-md2  4 3 0 0  modeld w=10u l=2u
-ml2  1 1 4 0  modell w=2u l=2u
-md3  5 4 0 0  modeld w=10u l=2u
-ml3  1 1 5 0  modell w=2u l=2u
-md4  6 5 0 0  modeld w=10u l=2u
-ml4  1 1 6 0  modell w=2u l=2u
-md5  7 6 0 0  modeld w=10u l=2u
-ml5  1 1 7 0  modell w=2u l=2u
-md6  8 7 0 0  modeld w=10u l=2u
-ml6  1 1 8 0  modell w=2u l=2u
-md7  9 8 0 0  modeld w=10u l=2u
-ml7  1 1 9 0  modell w=2u l=2u
-md8  10 9 0 0  modeld w=10u l=2u
-ml8  1 1 10 0  modell w=2u l=2u
-md9  11 10 0 0  modeld w=10u l=2u
-ml9  1 1 11 0  modell w=2u l=2u
-md10  12 11 0 0  modeld w=10u l=2u
-ml10  1 1 12 0  modell w=2u l=2u
-md11  13 12 0 0  modeld w=10u l=2u
-ml11  1 1 13 0  modell w=2u l=2u
-md12  14 13 0 0  modeld w=10u l=2u
-ml12  1 1 14 0  modell w=2u l=2u
-md13  15 14 0 0  modeld w=10u l=2u
-ml13  1 1 15 0  modell w=2u l=2u
-md14  16 15 0 0  modeld w=10u l=2u
-ml14  1 1 16 0  modell w=2u l=2u
-md15  17 16 0 0  modeld w=10u l=2u
-ml15  1 1 17 0  modell w=2u l=2u
-md16  18 17 0 0  modeld w=10u l=2u
-ml16  1 1 18 0  modell w=2u l=2u
-md17  19 18 0 0  modeld w=10u l=2u
-ml17  1 1 19 0  modell w=2u l=2u
-md18  20 19 0 0  modeld w=10u l=2u
-ml18  1 1 20 0  modell w=2u l=2u
-md19  21 20 0 0  modeld w=10u l=2u
-ml19  1 1 21 0  modell w=2u l=2u
-md20  22 21 0 0  modeld w=10u l=2u
-ml20  1 1 22 0  modell w=2u l=2u
-md21  23 22 0 0  modeld w=10u l=2u
-ml21  1 1 23 0  modell w=2u l=2u
-md22  24 23 0 0  modeld w=10u l=2u
-ml22  1 1 24 0  modell w=2u l=2u
-md23  25 24 0 0  modeld w=10u l=2u
-ml23  1 1 25 0  modell w=2u l=2u
-md24  26 25 0 0  modeld w=10u l=2u
-ml24  1 1 26 0  modell w=2u l=2u
-md25  27 26 0 0  modeld w=10u l=2u
-ml25  1 1 27 0  modell w=2u l=2u
-md26  28 27 0 0  modeld w=10u l=2u
-ml26  1 1 28 0  modell w=2u l=2u
-md27  29 28 0 0  modeld w=10u l=2u
-ml27  1 1 29 0  modell w=2u l=2u
-md28  30 29 0 0  modeld w=10u l=2u
-ml28  1 1 30 0  modell w=2u l=2u
-md29  31 30 0 0  modeld w=10u l=2u
-ml29  1 1 31 0  modell w=2u l=2u
-md30  32 31 0 0  modeld w=10u l=2u
-ml30  1 1 32 0  modell w=2u l=2u
-vdd 1 0 5
-vin 2 0 .8
-.MODEL MODELD NMOS (level=2 KP=28U VTO=0.7 LAMBDA=0.01 GAMMA=0.9 PHI=0.5)
-.MODEL MODELL NMOS (level=2 KP=28U VTO=0.7 LAMBDA=0.01 GAMMA=0.9 PHI=0.5)
-.PRINT OP iter(0) V(nodes)
-.op
-.end
diff --git a/examples/nmosgen.c b/examples/nmosgen.c
deleted file mode 100644
index a6abe75..0000000
--- a/examples/nmosgen.c
+++ /dev/null
@@ -1,26 +0,0 @@
-/* gen.c  03/19/93
- * Generate cascased nmos inverters to test simulators
- */
-#include <libc.h>
-void main(argc,argv)
-int argc;
-const char *argv[];
-{
- unsigned count, ii;
- (void)sscanf(argv[1], "%u",  &count);
- printf("%u cascaded NMOS inverters\n", count);
- for (ii = 1;  ii <= count;  ii++){
-    int jj = ii+1;
-    int kk = jj+1;
-    printf("md%u  %u %u %u %u  modeld w=10u l=2u\n", ii, kk, jj, 0, 0);
-    printf("ml%u  %u %u %u %u  modell w=2u l=2u\n", ii, 1, 1, kk, 0);
- }
- printf("vdd 1 0 5\n");
- printf("vin 2 0 .8\n");
- printf(".MODEL MODELD NMOS (level=2 KP=28U VTO=0.7 LAMBDA=0.01 GAMMA=0.9 PHI=0.5)\n");
- printf(".MODEL MODELL NMOS (level=2 KP=28U VTO=0.7 LAMBDA=0.01 GAMMA=0.9 PHI=0.5)\n");
- printf(".PRINT OP iter(0) V(nodes)\n");
- printf(".op\n");
- printf(".options acct\n");
- printf(".end\n");
-}
diff --git a/examples/nmp100.ckt b/examples/nmp100.ckt
deleted file mode 100644
index 99b4fdf..0000000
--- a/examples/nmp100.ckt
+++ /dev/null
@@ -1,307 +0,0 @@
-100 cascaded NMOS inverters
-vd1 2 0 5
-md1  3 1 0 0  modeld w=10u l=2u
-ml1  2 2 3 0  modell w=2u l=2u
-vd2 4 0 5
-md2  5 3 0 0  modeld w=10u l=2u
-ml2  4 4 5 0  modell w=2u l=2u
-vd3 6 0 5
-md3  7 5 0 0  modeld w=10u l=2u
-ml3  6 6 7 0  modell w=2u l=2u
-vd4 8 0 5
-md4  9 7 0 0  modeld w=10u l=2u
-ml4  8 8 9 0  modell w=2u l=2u
-vd5 10 0 5
-md5  11 9 0 0  modeld w=10u l=2u
-ml5  10 10 11 0  modell w=2u l=2u
-vd6 12 0 5
-md6  13 11 0 0  modeld w=10u l=2u
-ml6  12 12 13 0  modell w=2u l=2u
-vd7 14 0 5
-md7  15 13 0 0  modeld w=10u l=2u
-ml7  14 14 15 0  modell w=2u l=2u
-vd8 16 0 5
-md8  17 15 0 0  modeld w=10u l=2u
-ml8  16 16 17 0  modell w=2u l=2u
-vd9 18 0 5
-md9  19 17 0 0  modeld w=10u l=2u
-ml9  18 18 19 0  modell w=2u l=2u
-vd10 20 0 5
-md10  21 19 0 0  modeld w=10u l=2u
-ml10  20 20 21 0  modell w=2u l=2u
-vd11 22 0 5
-md11  23 21 0 0  modeld w=10u l=2u
-ml11  22 22 23 0  modell w=2u l=2u
-vd12 24 0 5
-md12  25 23 0 0  modeld w=10u l=2u
-ml12  24 24 25 0  modell w=2u l=2u
-vd13 26 0 5
-md13  27 25 0 0  modeld w=10u l=2u
-ml13  26 26 27 0  modell w=2u l=2u
-vd14 28 0 5
-md14  29 27 0 0  modeld w=10u l=2u
-ml14  28 28 29 0  modell w=2u l=2u
-vd15 30 0 5
-md15  31 29 0 0  modeld w=10u l=2u
-ml15  30 30 31 0  modell w=2u l=2u
-vd16 32 0 5
-md16  33 31 0 0  modeld w=10u l=2u
-ml16  32 32 33 0  modell w=2u l=2u
-vd17 34 0 5
-md17  35 33 0 0  modeld w=10u l=2u
-ml17  34 34 35 0  modell w=2u l=2u
-vd18 36 0 5
-md18  37 35 0 0  modeld w=10u l=2u
-ml18  36 36 37 0  modell w=2u l=2u
-vd19 38 0 5
-md19  39 37 0 0  modeld w=10u l=2u
-ml19  38 38 39 0  modell w=2u l=2u
-vd20 40 0 5
-md20  41 39 0 0  modeld w=10u l=2u
-ml20  40 40 41 0  modell w=2u l=2u
-vd21 42 0 5
-md21  43 41 0 0  modeld w=10u l=2u
-ml21  42 42 43 0  modell w=2u l=2u
-vd22 44 0 5
-md22  45 43 0 0  modeld w=10u l=2u
-ml22  44 44 45 0  modell w=2u l=2u
-vd23 46 0 5
-md23  47 45 0 0  modeld w=10u l=2u
-ml23  46 46 47 0  modell w=2u l=2u
-vd24 48 0 5
-md24  49 47 0 0  modeld w=10u l=2u
-ml24  48 48 49 0  modell w=2u l=2u
-vd25 50 0 5
-md25  51 49 0 0  modeld w=10u l=2u
-ml25  50 50 51 0  modell w=2u l=2u
-vd26 52 0 5
-md26  53 51 0 0  modeld w=10u l=2u
-ml26  52 52 53 0  modell w=2u l=2u
-vd27 54 0 5
-md27  55 53 0 0  modeld w=10u l=2u
-ml27  54 54 55 0  modell w=2u l=2u
-vd28 56 0 5
-md28  57 55 0 0  modeld w=10u l=2u
-ml28  56 56 57 0  modell w=2u l=2u
-vd29 58 0 5
-md29  59 57 0 0  modeld w=10u l=2u
-ml29  58 58 59 0  modell w=2u l=2u
-vd30 60 0 5
-md30  61 59 0 0  modeld w=10u l=2u
-ml30  60 60 61 0  modell w=2u l=2u
-vd31 62 0 5
-md31  63 61 0 0  modeld w=10u l=2u
-ml31  62 62 63 0  modell w=2u l=2u
-vd32 64 0 5
-md32  65 63 0 0  modeld w=10u l=2u
-ml32  64 64 65 0  modell w=2u l=2u
-vd33 66 0 5
-md33  67 65 0 0  modeld w=10u l=2u
-ml33  66 66 67 0  modell w=2u l=2u
-vd34 68 0 5
-md34  69 67 0 0  modeld w=10u l=2u
-ml34  68 68 69 0  modell w=2u l=2u
-vd35 70 0 5
-md35  71 69 0 0  modeld w=10u l=2u
-ml35  70 70 71 0  modell w=2u l=2u
-vd36 72 0 5
-md36  73 71 0 0  modeld w=10u l=2u
-ml36  72 72 73 0  modell w=2u l=2u
-vd37 74 0 5
-md37  75 73 0 0  modeld w=10u l=2u
-ml37  74 74 75 0  modell w=2u l=2u
-vd38 76 0 5
-md38  77 75 0 0  modeld w=10u l=2u
-ml38  76 76 77 0  modell w=2u l=2u
-vd39 78 0 5
-md39  79 77 0 0  modeld w=10u l=2u
-ml39  78 78 79 0  modell w=2u l=2u
-vd40 80 0 5
-md40  81 79 0 0  modeld w=10u l=2u
-ml40  80 80 81 0  modell w=2u l=2u
-vd41 82 0 5
-md41  83 81 0 0  modeld w=10u l=2u
-ml41  82 82 83 0  modell w=2u l=2u
-vd42 84 0 5
-md42  85 83 0 0  modeld w=10u l=2u
-ml42  84 84 85 0  modell w=2u l=2u
-vd43 86 0 5
-md43  87 85 0 0  modeld w=10u l=2u
-ml43  86 86 87 0  modell w=2u l=2u
-vd44 88 0 5
-md44  89 87 0 0  modeld w=10u l=2u
-ml44  88 88 89 0  modell w=2u l=2u
-vd45 90 0 5
-md45  91 89 0 0  modeld w=10u l=2u
-ml45  90 90 91 0  modell w=2u l=2u
-vd46 92 0 5
-md46  93 91 0 0  modeld w=10u l=2u
-ml46  92 92 93 0  modell w=2u l=2u
-vd47 94 0 5
-md47  95 93 0 0  modeld w=10u l=2u
-ml47  94 94 95 0  modell w=2u l=2u
-vd48 96 0 5
-md48  97 95 0 0  modeld w=10u l=2u
-ml48  96 96 97 0  modell w=2u l=2u
-vd49 98 0 5
-md49  99 97 0 0  modeld w=10u l=2u
-ml49  98 98 99 0  modell w=2u l=2u
-vd50 100 0 5
-md50  101 99 0 0  modeld w=10u l=2u
-ml50  100 100 101 0  modell w=2u l=2u
-vd51 102 0 5
-md51  103 101 0 0  modeld w=10u l=2u
-ml51  102 102 103 0  modell w=2u l=2u
-vd52 104 0 5
-md52  105 103 0 0  modeld w=10u l=2u
-ml52  104 104 105 0  modell w=2u l=2u
-vd53 106 0 5
-md53  107 105 0 0  modeld w=10u l=2u
-ml53  106 106 107 0  modell w=2u l=2u
-vd54 108 0 5
-md54  109 107 0 0  modeld w=10u l=2u
-ml54  108 108 109 0  modell w=2u l=2u
-vd55 110 0 5
-md55  111 109 0 0  modeld w=10u l=2u
-ml55  110 110 111 0  modell w=2u l=2u
-vd56 112 0 5
-md56  113 111 0 0  modeld w=10u l=2u
-ml56  112 112 113 0  modell w=2u l=2u
-vd57 114 0 5
-md57  115 113 0 0  modeld w=10u l=2u
-ml57  114 114 115 0  modell w=2u l=2u
-vd58 116 0 5
-md58  117 115 0 0  modeld w=10u l=2u
-ml58  116 116 117 0  modell w=2u l=2u
-vd59 118 0 5
-md59  119 117 0 0  modeld w=10u l=2u
-ml59  118 118 119 0  modell w=2u l=2u
-vd60 120 0 5
-md60  121 119 0 0  modeld w=10u l=2u
-ml60  120 120 121 0  modell w=2u l=2u
-vd61 122 0 5
-md61  123 121 0 0  modeld w=10u l=2u
-ml61  122 122 123 0  modell w=2u l=2u
-vd62 124 0 5
-md62  125 123 0 0  modeld w=10u l=2u
-ml62  124 124 125 0  modell w=2u l=2u
-vd63 126 0 5
-md63  127 125 0 0  modeld w=10u l=2u
-ml63  126 126 127 0  modell w=2u l=2u
-vd64 128 0 5
-md64  129 127 0 0  modeld w=10u l=2u
-ml64  128 128 129 0  modell w=2u l=2u
-vd65 130 0 5
-md65  131 129 0 0  modeld w=10u l=2u
-ml65  130 130 131 0  modell w=2u l=2u
-vd66 132 0 5
-md66  133 131 0 0  modeld w=10u l=2u
-ml66  132 132 133 0  modell w=2u l=2u
-vd67 134 0 5
-md67  135 133 0 0  modeld w=10u l=2u
-ml67  134 134 135 0  modell w=2u l=2u
-vd68 136 0 5
-md68  137 135 0 0  modeld w=10u l=2u
-ml68  136 136 137 0  modell w=2u l=2u
-vd69 138 0 5
-md69  139 137 0 0  modeld w=10u l=2u
-ml69  138 138 139 0  modell w=2u l=2u
-vd70 140 0 5
-md70  141 139 0 0  modeld w=10u l=2u
-ml70  140 140 141 0  modell w=2u l=2u
-vd71 142 0 5
-md71  143 141 0 0  modeld w=10u l=2u
-ml71  142 142 143 0  modell w=2u l=2u
-vd72 144 0 5
-md72  145 143 0 0  modeld w=10u l=2u
-ml72  144 144 145 0  modell w=2u l=2u
-vd73 146 0 5
-md73  147 145 0 0  modeld w=10u l=2u
-ml73  146 146 147 0  modell w=2u l=2u
-vd74 148 0 5
-md74  149 147 0 0  modeld w=10u l=2u
-ml74  148 148 149 0  modell w=2u l=2u
-vd75 150 0 5
-md75  151 149 0 0  modeld w=10u l=2u
-ml75  150 150 151 0  modell w=2u l=2u
-vd76 152 0 5
-md76  153 151 0 0  modeld w=10u l=2u
-ml76  152 152 153 0  modell w=2u l=2u
-vd77 154 0 5
-md77  155 153 0 0  modeld w=10u l=2u
-ml77  154 154 155 0  modell w=2u l=2u
-vd78 156 0 5
-md78  157 155 0 0  modeld w=10u l=2u
-ml78  156 156 157 0  modell w=2u l=2u
-vd79 158 0 5
-md79  159 157 0 0  modeld w=10u l=2u
-ml79  158 158 159 0  modell w=2u l=2u
-vd80 160 0 5
-md80  161 159 0 0  modeld w=10u l=2u
-ml80  160 160 161 0  modell w=2u l=2u
-vd81 162 0 5
-md81  163 161 0 0  modeld w=10u l=2u
-ml81  162 162 163 0  modell w=2u l=2u
-vd82 164 0 5
-md82  165 163 0 0  modeld w=10u l=2u
-ml82  164 164 165 0  modell w=2u l=2u
-vd83 166 0 5
-md83  167 165 0 0  modeld w=10u l=2u
-ml83  166 166 167 0  modell w=2u l=2u
-vd84 168 0 5
-md84  169 167 0 0  modeld w=10u l=2u
-ml84  168 168 169 0  modell w=2u l=2u
-vd85 170 0 5
-md85  171 169 0 0  modeld w=10u l=2u
-ml85  170 170 171 0  modell w=2u l=2u
-vd86 172 0 5
-md86  173 171 0 0  modeld w=10u l=2u
-ml86  172 172 173 0  modell w=2u l=2u
-vd87 174 0 5
-md87  175 173 0 0  modeld w=10u l=2u
-ml87  174 174 175 0  modell w=2u l=2u
-vd88 176 0 5
-md88  177 175 0 0  modeld w=10u l=2u
-ml88  176 176 177 0  modell w=2u l=2u
-vd89 178 0 5
-md89  179 177 0 0  modeld w=10u l=2u
-ml89  178 178 179 0  modell w=2u l=2u
-vd90 180 0 5
-md90  181 179 0 0  modeld w=10u l=2u
-ml90  180 180 181 0  modell w=2u l=2u
-vd91 182 0 5
-md91  183 181 0 0  modeld w=10u l=2u
-ml91  182 182 183 0  modell w=2u l=2u
-vd92 184 0 5
-md92  185 183 0 0  modeld w=10u l=2u
-ml92  184 184 185 0  modell w=2u l=2u
-vd93 186 0 5
-md93  187 185 0 0  modeld w=10u l=2u
-ml93  186 186 187 0  modell w=2u l=2u
-vd94 188 0 5
-md94  189 187 0 0  modeld w=10u l=2u
-ml94  188 188 189 0  modell w=2u l=2u
-vd95 190 0 5
-md95  191 189 0 0  modeld w=10u l=2u
-ml95  190 190 191 0  modell w=2u l=2u
-vd96 192 0 5
-md96  193 191 0 0  modeld w=10u l=2u
-ml96  192 192 193 0  modell w=2u l=2u
-vd97 194 0 5
-md97  195 193 0 0  modeld w=10u l=2u
-ml97  194 194 195 0  modell w=2u l=2u
-vd98 196 0 5
-md98  197 195 0 0  modeld w=10u l=2u
-ml98  196 196 197 0  modell w=2u l=2u
-vd99 198 0 5
-md99  199 197 0 0  modeld w=10u l=2u
-ml99  198 198 199 0  modell w=2u l=2u
-vd100 200 0 5
-md100  201 199 0 0  modeld w=10u l=2u
-ml100  200 200 201 0  modell w=2u l=2u
-vin 1 0 .8
-.MODEL MODELD NMOS (level=2 KP=28U VTO=0.7 LAMBDA=0.01 GAMMA=0.9 PHI=0.5)
-.MODEL MODELL NMOS (level=2 KP=28U VTO=0.7 LAMBDA=0.01 GAMMA=0.9 PHI=0.5)
-.PRINT OP iter(0) V(nodes)
-.op
-.end
diff --git a/examples/nmpgen.c b/examples/nmpgen.c
deleted file mode 100644
index 0e44edd..0000000
--- a/examples/nmpgen.c
+++ /dev/null
@@ -1,27 +0,0 @@
-/* gen.c  03/19/93
- * Generate cascased nmos inverters to test simulators
- */
-#include <stdio.h>
-void main(argc,argv)
-int argc;
-const char *argv[];
-{
- unsigned count, ii;
- (void)sscanf(argv[1], "%u",  &count);
- printf("%u cascaded NMOS inverters\n", count);
- for (ii = 1;  ii <= count;  ii++){
-    int in = ii*2-1;
-    int out = ii*2+1;
-    int pwr = ii*2;
-    printf("vd%u %u 0 5\n", ii, pwr);
-    printf("md%u  %u %u %u %u  modeld w=10u l=2u\n", ii, out, in, 0, 0);
-    printf("ml%u  %u %u %u %u  modell w=2u l=2u\n", ii, pwr, pwr, out, 0);
- }
- printf("vin 1 0 .8\n");
- printf(".MODEL MODELD NMOS (level=2 KP=28U VTO=0.7 LAMBDA=0.01 GAMMA=0.9 PHI=0.5)\n");
- printf(".MODEL MODELL NMOS (level=2 KP=28U VTO=0.7 LAMBDA=0.01 GAMMA=0.9 PHI=0.5)\n");
- printf(".PRINT OP iter(0) V(nodes)\n");
- printf(".op\n");
- printf(".options acct\n");
- printf(".end\n");
-}
diff --git a/examples/opamp-ol.ckt b/examples/opamp-ol.ckt
deleted file mode 100644
index 360880e..0000000
--- a/examples/opamp-ol.ckt
+++ /dev/null
@@ -1,58 +0,0 @@
-2 stage op-amp open loop  02/16/88
-*
-m1 3 2 5 8 cmosn w=5u l=5u ad=45p as=45p pd=28u ps=28u nrd=1.8 nrs=1.8
-m2 4 1 5 8 cmosn w=5u l=5u ad=45p as=45p pd=28u ps=28u nrd=1.8 nrs=1.8
-m3 3 3 9 9 cmosp w=5u l=5u ad=45p as=45p pd=28u ps=28u nrd=1.8 nrs=1.8
-m4 4 3 9 9 cmosp w=5u l=5u ad=45p as=45p pd=28u ps=28u nrd=1.8 nrs=1.8
-m5 5 7 8 8 cmosn w=5u l=5u ad=45p as=45p pd=28u ps=28u nrd=1.8 nrs=1.8
-m6 6 4 9 9 cmosp w=9u l=5u ad=45p as=45p pd=28u ps=28u nrd=1.8 nrs=1.8
-m7 6 7 8 8 cmosn w=5u l=5u ad=45p as=45p pd=28u ps=28u nrd=1.8 nrs=1.8
-m8 7 7 8 8 cmosn w=5u l=5u ad=45p as=45p pd=28u ps=28u nrd=1.8 nrs=1.8
-cc 4 6 5.0p
-ib 9 7 .36u
-vdd 9 0 2.5
-vss 8 0 -2.5
-cl 6 0 20p
-*
-vin 1 0 dc=0 ac=.5
-ein 0 2 1 0 1
-*
-.model cmosn nmos (level=2 ld=0.265073u tox=418.0e-10
-+ nsub=1.53142e+16 vto=0.844345 kp=4.15964e-05 gamma=0.863074
-+ phi=0.6 uo=503.521 uexp=0.163917 ucrit=161166
-+ delta=1e-06 vmax=55903.5 xj=0.400000u lambda=0.01
-+ nfs=3.5934e+12 neff=1.001 nss=1e+12 tpg=1.000000
-+ rsh=29.3 cgdo=2.18971e-10 cgso=2.18971e-10
-+ cj=0.0003844 mj=0.488400 cjsw=5.272e-10 mjsw=0.300200 pb=0.700000)
-.model cmosp pmos (level=2 ld=0.299878u tox=418.0e-10
-+ nsub=4.19363e+15 vto=-0.79089 kp=1.64047e-05 gamma=0.451645
-+ phi=0.6 uo=198.577 uexp=0.343935 ucrit=110988
-+ delta=0.956806 vmax=41456.3 xj=0.400000u lambda=0.02
-+ nfs=1e+12 neff=1.001 nss=1e+12 tpg=-1.000000
-+ rsh=107.6 cgdo=2.47722e-10 cgso=2.47722e-10
-+ cj=0.0002281 mj=0.508000 cjsw=3.077e-10 mjsw=0.193500 pb=0.740000)
-*
-.print op iter(0) v(nodes)
-.op
-*
-.plot ac vdb(6) (0,80) vp(6) (-180,180)
-.ac dec 5 1 10meg
-*ac steps start stop
-*
-.plot dc v(6) (-4,4)
-.dc vin -500u 500u 20u
-*dc start stop stepsize
-*
-* Try this in Spice.  Compare to the AC analysis above. (same sweep parameters)
-* It uses the last step in the DC analysis as the bias point.
-.ac
-*
-* Try this in Spice.
-.plot dc cgs(m7)(5f 7f)
-.dc
-*.plot dc cgs(m7)(5f 7f) cgs(m6)(15f 25f)
-*.dc
-*.print dc region(m*)
-*.dc
-*
-.end
diff --git a/examples/opamp-vf.ckt b/examples/opamp-vf.ckt
deleted file mode 100644
index 7f3b7cb..0000000
--- a/examples/opamp-vf.ckt
+++ /dev/null
@@ -1,48 +0,0 @@
-2 stage op-amp, conected as voltage follower 02/16/88
-*
-m1 3 6 5 8 cmosn w=5u l=5u ad=45p as=45p pd=28u ps=28u nrd=1.8 nrs=1.8
-m2 4 1 5 8 cmosn w=5u l=5u ad=45p as=45p pd=28u ps=28u nrd=1.8 nrs=1.8
-m3 3 3 9 9 cmosp w=5u l=5u ad=45p as=45p pd=28u ps=28u nrd=1.8 nrs=1.8
-m4 4 3 9 9 cmosp w=5u l=5u ad=45p as=45p pd=28u ps=28u nrd=1.8 nrs=1.8
-m5 5 7 8 8 cmosn w=5u l=5u ad=45p as=45p pd=28u ps=28u nrd=1.8 nrs=1.8
-m6 6 4 9 9 cmosp w=9u l=5u ad=45p as=45p pd=28u ps=28u nrd=1.8 nrs=1.8
-m7 6 7 8 8 cmosn w=5u l=5u ad=45p as=45p pd=28u ps=28u nrd=1.8 nrs=1.8
-m8 7 7 8 8 cmosn w=5u l=5u ad=45p as=45p pd=28u ps=28u nrd=1.8 nrs=1.8
-cc 4 6 5.0p
-ib 9 7 .36u
-vdd 9 0 2.5
-vss 8 0 -2.5
-cl 6 0 20p
-*
-vin 1 0 dc pulse(-1.0 1.0 200u 1u 1u 300u 600u) ac=1
-*
-.model cmosn nmos (level=2 ld=0.265073u tox=418.0e-10
-+ nsub=1.53142e+16 vto=0.844345 kp=4.15964e-05 gamma=0.863074
-+ phi=0.6 uo=503.521 uexp=0.163917 ucrit=161166
-+ delta=1e-06 vmax=55903.5 xj=0.400000u lambda=0.01
-+ nfs=3.5934e+12 neff=1.001 nss=1e+12 tpg=1.000000
-+ rsh=29.3 cgdo=2.18971e-10 cgso=2.18971e-10
-+ cj=0.0003844 mj=0.488400 cjsw=5.272e-10 mjsw=0.300200 pb=0.700000)
-.model cmosp pmos (level=2 ld=0.299878u tox=418.0e-10
-+ nsub=4.19363e+15 vto=-0.79089 kp=1.64047e-05 gamma=0.451645
-+ phi=0.6 uo=198.577 uexp=0.343935 ucrit=110988
-+ delta=0.956806 vmax=41456.3 xj=0.400000u lambda=0.02
-+ nfs=1e+12 neff=1.001 nss=1e+12 tpg=-1.000000
-+ rsh=107.6 cgdo=2.47722e-10 cgso=2.47722e-10
-+ cj=0.0002281 mj=0.508000 cjsw=3.077e-10 mjsw=0.193500 pb=0.740000)
-*
-.print op iter(0) v(nodes)
-.op
-*
-.plot ac vdb(6) (-90,30) vp(6) (-180,180)
-.ac dec 5 1 10meg
-*ac steps start stop
-*
-.plot dc v(6) (-4,4)
-.dc vin -5 5 .2
-*dc start stop stepsize
-*
-.plot tran v(6) (-4,4)
-.tran 10u 1000u 0
-*tran step stop start
-.end
diff --git a/examples/opamp.doc b/examples/opamp.doc
deleted file mode 100644
index d377d92..0000000
--- a/examples/opamp.doc
+++ /dev/null
@@ -1,19 +0,0 @@
-Here are two variations on a CMOS op-amp, similar to the sample 2
-stage one in Allen & Holberg's "CMOS Analog Circuit Design" text.
-It is not an optimal design, just one to play with.  The results
-are the same as Spice, as expected.
-
-The files:
-opamp-ol.ckt	open loop: op, ac, dc
-opamp-vf.ckt	voltage follower: op, ac, dc, tran
-
-We do a few extras, like a plot of Cgs(M7) vs. input voltage.  Try
-that in Spice.
-
-Then, there's another one.  An ac analysis at saturation.  Try that
-in Spice.
-
-Spice shows you everything, whether you like it or not, on an "op"
-analysis.  You can't see them at all in any other.  But those values
-are not constant.  They vary with the signals.  ACS will show you
-(if you want) how they vary with the signal.
diff --git a/examples/runall b/examples/runall
deleted file mode 100755
index 35d325a..0000000
--- a/examples/runall
+++ /dev/null
@@ -1,16 +0,0 @@
-#
-$1 -b eq2-145.ckt
-$1 -b eq2-289.ckt
-$1 -b eq2-577.ckt
-$1 -b eq3-1153.ckt
-$1 -b eq4-2305.ckt
-$1 -b eqboost.ckt
-$1 -b eqflat.ckt 
-$1 -b eqmodify.ckt
-$1 -b killzap.ckt
-$1 -b nmos100.ckt
-$1 -b nmos18.ckt 
-$1 -b nmos30.ckt 
-$1 -b nmp100.ckt 
-$1 -b opamp-ol.ckt
-$1 -b opamp-vf.ckt
diff --git a/examples/runall.out b/examples/runall.out
deleted file mode 100644
index 83a448b..0000000
--- a/examples/runall.out
+++ /dev/null
@@ -1,959 +0,0 @@
-ACS (Al's Circuit Simulator) 0.2808
-Never trust any version less than 1.0
-Copyright 1982-2000, Albert Davis
-ACS comes with ABSOLUTELY NO WARRANTY
-This is free software, and you are welcome
-to redistribute it under certain conditions
-according to the GNU General Public License.
-See the file "COPYING" for details
-A 145 node circuit 
-#           v(2)      
- 1.         0.99847   
- 2.         1.9969    
- 3.         2.9954    
- 4.         3.9939    
- 5.         4.9924    
- 6.         5.9908    
- 7.         6.9893    
- 8.         7.9878    
- 9.         8.9863    
- 10.        9.9847    
-#Freq       vm(2)      vdb(2)     vp(2)     
- 31.25      0.99847   -0.013271   11.171u   
- 62.5       0.99847   -0.013271   22.349u   
- 125.       0.99847   -0.013271   44.705u   
- 250.       0.99847   -0.013271   89.415u   
- 500.       0.99847   -0.013271   178.83u   
- 1.K        0.99847   -0.01327    357.64u   
- 2.K        0.99847   -0.013269   715.13u   
- 4.K        0.99847   -0.013263   0.001429  
- 8.K        0.99848   -0.013241   0.002848  
- 16.K       0.99849   -0.013154   0.005617  
-ACS (Al's Circuit Simulator) 0.2808
-Never trust any version less than 1.0
-Copyright 1982-2000, Albert Davis
-ACS comes with ABSOLUTELY NO WARRANTY
-This is free software, and you are welcome
-to redistribute it under certain conditions
-according to the GNU General Public License.
-See the file "COPYING" for details
-A 289 node circuit 
-#           v(2)      
- 1.         0.99847   
- 2.         1.9969    
- 3.         2.9954    
- 4.         3.9939    
- 5.         4.9924    
- 6.         5.9908    
- 7.         6.9893    
- 8.         7.9878    
- 9.         8.9863    
- 10.        9.9847    
-#Freq       vm(2)      vdb(2)     vp(2)     
- 31.25      0.99847   -0.013271   11.171u   
- 62.5       0.99847   -0.013271   22.349u   
- 125.       0.99847   -0.013271   44.705u   
- 250.       0.99847   -0.013271   89.415u   
- 500.       0.99847   -0.013271   178.83u   
- 1.K        0.99847   -0.01327    357.64u   
- 2.K        0.99847   -0.013269   715.13u   
- 4.K        0.99847   -0.013263   0.001429  
- 8.K        0.99848   -0.013241   0.002848  
- 16.K       0.99849   -0.013154   0.005617  
-ACS (Al's Circuit Simulator) 0.2808
-Never trust any version less than 1.0
-Copyright 1982-2000, Albert Davis
-ACS comes with ABSOLUTELY NO WARRANTY
-This is free software, and you are welcome
-to redistribute it under certain conditions
-according to the GNU General Public License.
-See the file "COPYING" for details
-A 577 node circuit 
-#           v(2)      
- 1.         0.99847   
- 2.         1.9969    
- 3.         2.9954    
- 4.         3.9939    
- 5.         4.9924    
- 6.         5.9908    
- 7.         6.9893    
- 8.         7.9878    
- 9.         8.9863    
- 10.        9.9847    
-#Freq       vm(2)      vdb(2)     vp(2)     
- 31.25      0.99847   -0.013271   11.171u   
- 62.5       0.99847   -0.013271   22.349u   
- 125.       0.99847   -0.013271   44.705u   
- 250.       0.99847   -0.013271   89.415u   
- 500.       0.99847   -0.013271   178.83u   
- 1.K        0.99847   -0.01327    357.64u   
- 2.K        0.99847   -0.013269   715.13u   
- 4.K        0.99847   -0.013263   0.001429  
- 8.K        0.99848   -0.013241   0.002848  
- 16.K       0.99849   -0.013154   0.005617  
-ACS (Al's Circuit Simulator) 0.2808
-Never trust any version less than 1.0
-Copyright 1982-2000, Albert Davis
-ACS comes with ABSOLUTELY NO WARRANTY
-This is free software, and you are welcome
-to redistribute it under certain conditions
-according to the GNU General Public License.
-See the file "COPYING" for details
-A 1153 node circuit 
-#           v(2)      
- 1.         0.98785   
- 2.         1.9757    
- 3.         2.9636    
- 4.         3.9514    
- 5.         4.9393    
- 6.         5.9271    
- 7.         6.915     
- 8.         7.9028    
- 9.         8.8907    
- 10.        9.8785    
-#Freq       vm(2)      vdb(2)     vp(2)     
- 31.25      0.98785   -0.10617    89.411u   
- 62.5       0.98785   -0.10617    178.83u   
- 125.       0.98785   -0.10617    357.67u   
- 250.       0.98785   -0.10617    715.34u   
- 500.       0.98785   -0.10617    0.0014307 
- 1.K        0.98785   -0.10616    0.0028612 
- 2.K        0.98785   -0.10615    0.0057211 
- 4.K        0.98786   -0.10611    0.011432  
- 8.K        0.98788   -0.10593    0.022784  
- 16.K       0.98796   -0.10523    0.044936  
-ACS (Al's Circuit Simulator) 0.2808
-Never trust any version less than 1.0
-Copyright 1982-2000, Albert Davis
-ACS comes with ABSOLUTELY NO WARRANTY
-This is free software, and you are welcome
-to redistribute it under certain conditions
-according to the GNU General Public License.
-See the file "COPYING" for details
-A 2305 node circuit 
-#           v(2)      
- 1.         0.97585   
- 2.         1.9517    
- 3.         2.9276    
- 4.         3.9034    
- 5.         4.8793    
- 6.         5.8551    
- 7.         6.831     
- 8.         7.8068    
- 9.         8.7827    
- 10.        9.7585    
-#Freq       vm(2)      vdb(2)     vp(2)     
- 31.25      0.97585   -0.21233    178.83u   
- 62.5       0.97585   -0.21233    357.67u   
- 125.       0.97585   -0.21233    715.34u   
- 250.       0.97585   -0.21233    0.0014307 
- 500.       0.97585   -0.21233    0.0028613 
- 1.K        0.97585   -0.21232    0.0057223 
- 2.K        0.97585   -0.2123     0.011442  
- 4.K        0.97586   -0.21221    0.022864  
- 8.K        0.9759    -0.21186    0.045567  
- 16.K       0.97606   -0.21046    0.089872  
-ACS (Al's Circuit Simulator) 0.2808
-Never trust any version less than 1.0
-Copyright 1982-2000, Albert Davis
-ACS comes with ABSOLUTELY NO WARRANTY
-This is free software, and you are welcome
-to redistribute it under certain conditions
-according to the GNU General Public License.
-See the file "COPYING" for details
-Graphic equalizer -- boost 1k 
-#Freq       vdb(37)    vdb(31)    vdb(34)   
- 31.25      0.095167  -2.0118n    0.096826  
- 37.163     0.11409   -2.1032n    0.11575   
- 44.194     0.13781   -2.1809n    0.13947   
- 52.556     0.16848   -2.2446n    0.17014   
- 62.5       0.20922   -2.2952n    0.21088   
- 74.325     0.26444   -2.3344n    0.2661    
- 88.388     0.34021   -2.3642n    0.34187   
- 105.11     0.44477   -2.3866n    0.44643   
- 125.       0.58911   -2.4035n    0.59077   
- 148.65     0.78769   -2.4165n    0.78934   
- 176.78     1.059     -2.4266n    1.0607    
- 210.22     1.4262    -2.4349n    1.4279    
- 250.       1.9169    -2.4421n    1.9186    
- 297.3      2.5634    -2.4488n    2.565     
- 353.55     3.4014    -2.4552n    3.403     
- 420.45     4.4697    -2.4615n    4.4714    
- 500.       5.8076    -2.4678n    5.8093    
- 594.6      7.4426    -2.4741n    7.4443    
- 707.11     9.3356    -2.4804n    9.3373    
- 840.9      11.183    -2.4867n    11.184    
- 1.K        12.091    -2.4928n    12.092    
- 1.1892K    11.281    -2.4985n    11.283    
- 1.4142K    9.4491    -2.5039n    9.4508    
- 1.6818K    7.5303    -2.5088n    7.532     
- 2.K        5.8651    -2.5129n    5.8667    
- 2.3784K    4.5032    -2.5158n    4.5049    
- 2.8284K    3.4187    -2.5174n    3.4204    
- 3.3636K    2.5711    -2.5176n    2.5728    
- 4.K        1.9198    -2.5164n    1.9214    
- 4.7568K    1.427     -2.5136n    1.4286    
- 5.6569K    1.0593    -2.5093n    1.061     
- 6.7272K    0.78815   -2.5028n    0.78981   
- 8.K        0.58993   -2.4938n    0.59159   
- 9.5137K    0.44583   -2.4813n    0.44748   
- 11.314K    0.34124   -2.4642n    0.34289   
- 13.454K    0.26508   -2.4413n    0.26673   
- 16.K       0.20907   -2.4112n    0.21072   
- 19.027K    0.16712   -2.3724n    0.16876   
- 22.627K    0.13481   -2.3241n    0.13644   
- 26.909K    0.10904   -2.2657n    0.11066   
- 32.K       0.087701  -2.1976n    0.089304  
-ACS (Al's Circuit Simulator) 0.2808
-Never trust any version less than 1.0
-Copyright 1982-2000, Albert Davis
-ACS comes with ABSOLUTELY NO WARRANTY
-This is free software, and you are welcome
-to redistribute it under certain conditions
-according to the GNU General Public License.
-See the file "COPYING" for details
-Graphic equalizer -- all bands flat, modify test 
-#Freq       vdb(37)    vdb(31)    vdb(34)   
- 31.25     -0.0033177 -2.1364n   -0.0016588 
- 37.163    -0.0033177 -2.2546n   -0.0016588 
- 44.194    -0.0033177 -2.3648n   -0.0016588 
- 52.556    -0.0033177 -2.4681n   -0.0016588 
- 62.5      -0.0033177 -2.5656n   -0.0016588 
- 74.325    -0.0033177 -2.6589n   -0.0016588 
- 88.388    -0.0033177 -2.7484n   -0.0016588 
- 105.11    -0.0033177 -2.8333n   -0.0016588 
- 125.      -0.0033177 -2.9121n   -0.0016588 
- 148.65    -0.0033177 -2.9828n   -0.0016588 
- 176.78    -0.0033177 -3.044n    -0.0016588 
- 210.22    -0.0033177 -3.0952n   -0.0016588 
- 250.      -0.0033177 -3.1368n   -0.0016588 
- 297.3     -0.0033177 -3.17n     -0.0016588 
- 353.55    -0.0033177 -3.1961n   -0.0016588 
- 420.45    -0.0033177 -3.2165n   -0.0016588 
- 500.      -0.0033177 -3.2324n   -0.0016588 
- 594.6     -0.0033177 -3.2448n   -0.0016588 
- 707.11    -0.0033176 -3.2544n   -0.0016588 
- 840.9     -0.0033176 -3.2618n   -0.0016588 
- 1.K       -0.0033176 -3.2673n   -0.0016588 
- 1.1892K   -0.0033175 -3.2709n   -0.0016588 
- 1.4142K   -0.0033175 -3.2725n   -0.0016587 
- 1.6818K   -0.0033174 -3.2716n   -0.0016587 
- 2.K       -0.0033172 -3.2674n   -0.0016586 
- 2.3784K   -0.003317  -3.2592n   -0.0016585 
- 2.8284K   -0.0033168 -3.2458n   -0.0016584 
- 3.3636K   -0.0033164 -3.2263n   -0.0016582 
- 4.K       -0.0033158 -3.1994n   -0.0016579 
- 4.7568K   -0.0033151 -3.1644n   -0.0016575 
- 5.6569K   -0.003314  -3.1205n   -0.001657  
- 6.7272K   -0.0033125 -3.0678n   -0.0016562 
- 8.K       -0.0033103 -3.0072n   -0.0016551 
- 9.5137K   -0.0033072 -2.9404n   -0.0016536 
- 11.314K   -0.003303  -2.8693n   -0.0016515 
- 13.454K   -0.0032969 -2.7957n   -0.0016485 
- 16.K      -0.0032885 -2.7202n   -0.0016442 
- 19.027K   -0.0032767 -2.6425n   -0.0016384 
- 22.627K   -0.0032603 -2.5619n   -0.0016302 
- 26.909K   -0.0032378 -2.4772n   -0.0016189 
- 32.K      -0.003207  -2.388n    -0.0016035 
-ACS (Al's Circuit Simulator) 0.2808
-Never trust any version less than 1.0
-Copyright 1982-2000, Albert Davis
-ACS comes with ABSOLUTELY NO WARRANTY
-This is free software, and you are welcome
-to redistribute it under certain conditions
-according to the GNU General Public License.
-See the file "COPYING" for details
-Graphic equalizer -- all bands flat, modify test 
-#Freq       vdb(37)    vdb(31)    vdb(34)   
- 31.25     -0.0033177 -2.1364n   -0.0016588 
- 37.163    -0.0033177 -2.2546n   -0.0016588 
- 44.194    -0.0033177 -2.3648n   -0.0016588 
- 52.556    -0.0033177 -2.4681n   -0.0016588 
- 62.5      -0.0033177 -2.5656n   -0.0016588 
- 74.325    -0.0033177 -2.6589n   -0.0016588 
- 88.388    -0.0033177 -2.7484n   -0.0016588 
- 105.11    -0.0033177 -2.8333n   -0.0016588 
- 125.      -0.0033177 -2.9121n   -0.0016588 
- 148.65    -0.0033177 -2.9828n   -0.0016588 
- 176.78    -0.0033177 -3.044n    -0.0016588 
- 210.22    -0.0033177 -3.0952n   -0.0016588 
- 250.      -0.0033177 -3.1368n   -0.0016588 
- 297.3     -0.0033177 -3.17n     -0.0016588 
- 353.55    -0.0033177 -3.1961n   -0.0016588 
- 420.45    -0.0033177 -3.2165n   -0.0016588 
- 500.      -0.0033177 -3.2324n   -0.0016588 
- 594.6     -0.0033177 -3.2448n   -0.0016588 
- 707.11    -0.0033176 -3.2544n   -0.0016588 
- 840.9     -0.0033176 -3.2618n   -0.0016588 
- 1.K       -0.0033176 -3.2673n   -0.0016588 
- 1.1892K   -0.0033175 -3.2709n   -0.0016588 
- 1.4142K   -0.0033175 -3.2725n   -0.0016587 
- 1.6818K   -0.0033174 -3.2716n   -0.0016587 
- 2.K       -0.0033172 -3.2674n   -0.0016586 
- 2.3784K   -0.003317  -3.2592n   -0.0016585 
- 2.8284K   -0.0033168 -3.2458n   -0.0016584 
- 3.3636K   -0.0033164 -3.2263n   -0.0016582 
- 4.K       -0.0033158 -3.1994n   -0.0016579 
- 4.7568K   -0.0033151 -3.1644n   -0.0016575 
- 5.6569K   -0.003314  -3.1205n   -0.001657  
- 6.7272K   -0.0033125 -3.0678n   -0.0016562 
- 8.K       -0.0033103 -3.0072n   -0.0016551 
- 9.5137K   -0.0033072 -2.9404n   -0.0016536 
- 11.314K   -0.003303  -2.8693n   -0.0016515 
- 13.454K   -0.0032969 -2.7957n   -0.0016485 
- 16.K      -0.0032885 -2.7202n   -0.0016442 
- 19.027K   -0.0032767 -2.6425n   -0.0016384 
- 22.627K   -0.0032603 -2.5619n   -0.0016302 
- 26.909K   -0.0032378 -2.4772n   -0.0016189 
- 32.K      -0.003207  -2.388n    -0.0016035 
-#Freq       vdb(37)    vdb(31)    vdb(34)   
- 31.25      0.095167  -2.0118n    0.096826  
- 37.163     0.11409   -2.1032n    0.11575   
- 44.194     0.13781   -2.1809n    0.13947   
- 52.556     0.16848   -2.2446n    0.17014   
- 62.5       0.20922   -2.2952n    0.21088   
- 74.325     0.26444   -2.3344n    0.2661    
- 88.388     0.34021   -2.3642n    0.34187   
- 105.11     0.44477   -2.3866n    0.44643   
- 125.       0.58911   -2.4035n    0.59077   
- 148.65     0.78769   -2.4165n    0.78934   
- 176.78     1.059     -2.4266n    1.0607    
- 210.22     1.4262    -2.4349n    1.4279    
- 250.       1.9169    -2.4421n    1.9186    
- 297.3      2.5634    -2.4488n    2.565     
- 353.55     3.4014    -2.4552n    3.403     
- 420.45     4.4697    -2.4615n    4.4714    
- 500.       5.8076    -2.4678n    5.8093    
- 594.6      7.4426    -2.4741n    7.4443    
- 707.11     9.3356    -2.4804n    9.3373    
- 840.9      11.183    -2.4867n    11.184    
- 1.K        12.091    -2.4928n    12.092    
- 1.1892K    11.281    -2.4985n    11.283    
- 1.4142K    9.4491    -2.5039n    9.4508    
- 1.6818K    7.5303    -2.5088n    7.532     
- 2.K        5.8651    -2.5129n    5.8667    
- 2.3784K    4.5032    -2.5158n    4.5049    
- 2.8284K    3.4187    -2.5174n    3.4204    
- 3.3636K    2.5711    -2.5176n    2.5728    
- 4.K        1.9198    -2.5164n    1.9214    
- 4.7568K    1.427     -2.5136n    1.4286    
- 5.6569K    1.0593    -2.5093n    1.061     
- 6.7272K    0.78815   -2.5028n    0.78981   
- 8.K        0.58993   -2.4938n    0.59159   
- 9.5137K    0.44583   -2.4813n    0.44748   
- 11.314K    0.34124   -2.4642n    0.34289   
- 13.454K    0.26508   -2.4413n    0.26673   
- 16.K       0.20907   -2.4112n    0.21072   
- 19.027K    0.16712   -2.3724n    0.16876   
- 22.627K    0.13481   -2.3241n    0.13644   
- 26.909K    0.10904   -2.2657n    0.11066   
- 32.K       0.087701  -2.1976n    0.089304  
-ACS (Al's Circuit Simulator) 0.2808
-Never trust any version less than 1.0
-Copyright 1982-2000, Albert Davis
-ACS comes with ABSOLUTELY NO WARRANTY
-This is free software, and you are welcome
-to redistribute it under certain conditions
-according to the GNU General Public License.
-See the file "COPYING" for details
-' 
-#Freq       vm(2)      vdb(2)     vp(2)     
- 1.p        1.         54.575p    0.        
- 10.p       1.         545.76p    0.        
- 100.p      1.         5.4576n    0.        
- 1.n        1.         54.576n    0.        
- 10.n       1.         545.76n    0.        
- 100.n      1.         5.4576u    0.        
- 1.u        1.         54.576u    0.        
- 10.u       1.0001     545.77u    0.        
- 100.u      1.0006     0.0054593  0.        
- 0.001      1.0063     0.054748   0.        
- 0.01       1.067      0.56366    0.        
- 0.1        2.6905     8.5967     0.        
- 1.         0.18928   -14.458    -180.      
- 10.        0.016173  -35.824    -180.      
- 100.       0.0015941 -55.95     -180.      
- 1.K        159.18u   -75.962    -180.      
- 10.K       15.916u   -95.964    -180.      
- 100.K      1.5915u   -115.96    -180.      
- 1.Meg      159.15n   -135.96    -180.      
- 10.Meg     15.915n   -155.96    -180.      
- 100.Meg    1.5915n   -175.96    -180.      
- 1.G        159.15p   -195.96    -180.      
-#Freq       vm(2)      vdb(2)     vp(2)     
- 0.1        2.6905     8.5967     0.        
- 0.105      2.9389     9.3638     0.        
- 0.11       3.2379     10.205     0.        
- 0.115      3.6046     11.137     0.        
- 0.12       4.0649     12.181     0.        
- 0.125      4.66       13.368     0.        
- 0.13       5.4592     14.743     0.        
- 0.135      6.5893     16.377     0.        
- 0.14       8.3094     18.391     0.        
- 0.145      11.245     21.019     0.        
- 0.15       17.387     24.805     0.        
- 0.155      38.319     31.668     0.        
- 0.16       187.98     45.482    -180.      
- 0.165      27.221     28.698    -180.      
- 0.17       14.673     23.33     -180.      
- 0.175      10.043     20.038    -180.      
- 0.18       7.6345     17.656    -180.      
- 0.185      6.1576     15.788    -180.      
- 0.19       5.1595     14.252    -180.      
- 0.195      4.4398     12.947    -180.      
- 0.2        3.8964     11.813    -180.      
-ACS (Al's Circuit Simulator) 0.2808
-Never trust any version less than 1.0
-Copyright 1982-2000, Albert Davis
-ACS comes with ABSOLUTELY NO WARRANTY
-This is free software, and you are welcome
-to redistribute it under certain conditions
-according to the GNU General Public License.
-See the file "COPYING" for details
-100 cascaded NMOS inverters 
-#           iter(0)    V(1)       V(2)       V(3)       V(4)       V(5)      
-+ V(6)       V(7)       V(8)       V(9)       V(10)      V(11)      V(12)     
-+ V(13)      V(14)      V(15)      V(16)      V(17)      V(18)      V(19)     
-+ V(20)      V(21)      V(22)      V(23)      V(24)      V(25)      V(26)     
-+ V(27)      V(28)      V(29)      V(30)      V(31)      V(32)      V(33)     
-+ V(34)      V(35)      V(36)      V(37)      V(38)      V(39)      V(40)     
-+ V(41)      V(42)      V(43)      V(44)      V(45)      V(46)      V(47)     
-+ V(48)      V(49)      V(50)      V(51)      V(52)      V(53)      V(54)     
-+ V(55)      V(56)      V(57)      V(58)      V(59)      V(60)      V(61)     
-+ V(62)      V(63)      V(64)      V(65)      V(66)      V(67)      V(68)     
-+ V(69)      V(70)      V(71)      V(72)      V(73)      V(74)      V(75)     
-+ V(76)      V(77)      V(78)      V(79)      V(80)      V(81)      V(82)     
-+ V(83)      V(84)      V(85)      V(86)      V(87)      V(88)      V(89)     
-+ V(90)      V(91)      V(92)      V(93)      V(94)      V(95)      V(96)     
-+ V(97)      V(98)      V(99)      V(100)     V(101)     V(102)    
- 300.15     32.        5.         0.8        3.0455     0.49727    3.2034    
-+ 0.46622    3.2034     0.46622    3.2034     0.46622    3.2034     0.46622   
-+ 3.2034     0.46622    3.2034     0.46622    3.2034     0.46622    3.2034    
-+ 0.46622    3.2034     0.46622    3.2034     0.46622    3.2034     0.46622   
-+ 3.2034     0.46622    3.2034     0.46622    3.2034     0.46622    3.2034    
-+ 0.46622    3.2034     0.46622    3.2034     0.46622    3.2034     0.46622   
-+ 3.2034     0.46622    3.2034     0.46622    3.2034     0.46622    3.2034    
-+ 0.46622    3.2034     0.46622    3.2034     0.46622    3.2034     0.46622   
-+ 3.2034     0.46622    3.2034     0.46622    3.2034     0.46622    3.2034    
-+ 0.46622    3.2034     0.46622    3.2034     0.46622    3.2034     0.46622   
-+ 3.2034     0.46622    3.2034     0.46622    3.2034     0.46622    3.2034    
-+ 0.46622    3.2034     0.46622    3.2034     0.46622    3.2034     0.46622   
-+ 3.2034     0.46622    3.2034     0.46622    3.2034     0.46622    3.2034    
-+ 0.46622    3.2034     0.46622    3.2034     0.46622    3.2034     0.46622   
-+ 3.2034     0.46622    3.2034     0.46622    3.2034     0.46622   
-ACS (Al's Circuit Simulator) 0.2808
-Never trust any version less than 1.0
-Copyright 1982-2000, Albert Davis
-ACS comes with ABSOLUTELY NO WARRANTY
-This is free software, and you are welcome
-to redistribute it under certain conditions
-according to the GNU General Public License.
-See the file "COPYING" for details
-18 cascaded NMOS inverters 
-#           iter(0)    V(1)       V(2)       V(3)       V(4)       V(5)      
-+ V(6)       V(7)       V(8)       V(9)       V(10)      V(11)      V(12)     
-+ V(13)      V(14)      V(15)      V(16)      V(17)      V(18)      V(19)     
-+ V(20)     
- 300.15     24.        5.         0.8        3.0455     0.49727    3.2034    
-+ 0.46622    3.2034     0.46622    3.2034     0.46622    3.2034     0.46622   
-+ 3.2034     0.46622    3.2034     0.46622    3.2034     0.46622    3.2034    
-+ 0.46622   
-ACS (Al's Circuit Simulator) 0.2808
-Never trust any version less than 1.0
-Copyright 1982-2000, Albert Davis
-ACS comes with ABSOLUTELY NO WARRANTY
-This is free software, and you are welcome
-to redistribute it under certain conditions
-according to the GNU General Public License.
-See the file "COPYING" for details
-30 cascaded NMOS inverters 
-#           iter(0)    V(1)       V(2)       V(3)       V(4)       V(5)      
-+ V(6)       V(7)       V(8)       V(9)       V(10)      V(11)      V(12)     
-+ V(13)      V(14)      V(15)      V(16)      V(17)      V(18)      V(19)     
-+ V(20)      V(21)      V(22)      V(23)      V(24)      V(25)      V(26)     
-+ V(27)      V(28)      V(29)      V(30)      V(31)      V(32)     
- 300.15     45.        5.         0.8        3.0455     0.49727    3.2034    
-+ 0.46622    3.2034     0.46622    3.2034     0.46622    3.2034     0.46622   
-+ 3.2034     0.46622    3.2034     0.46622    3.2034     0.46622    3.2034    
-+ 0.46622    3.2034     0.46622    3.2034     0.46622    3.2034     0.46622   
-+ 3.2034     0.46622    3.2034     0.46622    3.2034     0.46622   
-ACS (Al's Circuit Simulator) 0.2808
-Never trust any version less than 1.0
-Copyright 1982-2000, Albert Davis
-ACS comes with ABSOLUTELY NO WARRANTY
-This is free software, and you are welcome
-to redistribute it under certain conditions
-according to the GNU General Public License.
-See the file "COPYING" for details
-100 cascaded NMOS inverters 
-#           iter(0)    V(1)       V(2)       V(3)       V(4)       V(5)      
-+ V(6)       V(7)       V(8)       V(9)       V(10)      V(11)      V(12)     
-+ V(13)      V(14)      V(15)      V(16)      V(17)      V(18)      V(19)     
-+ V(20)      V(21)      V(22)      V(23)      V(24)      V(25)      V(26)     
-+ V(27)      V(28)      V(29)      V(30)      V(31)      V(32)      V(33)     
-+ V(34)      V(35)      V(36)      V(37)      V(38)      V(39)      V(40)     
-+ V(41)      V(42)      V(43)      V(44)      V(45)      V(46)      V(47)     
-+ V(48)      V(49)      V(50)      V(51)      V(52)      V(53)      V(54)     
-+ V(55)      V(56)      V(57)      V(58)      V(59)      V(60)      V(61)     
-+ V(62)      V(63)      V(64)      V(65)      V(66)      V(67)      V(68)     
-+ V(69)      V(70)      V(71)      V(72)      V(73)      V(74)      V(75)     
-+ V(76)      V(77)      V(78)      V(79)      V(80)      V(81)      V(82)     
-+ V(83)      V(84)      V(85)      V(86)      V(87)      V(88)      V(89)     
-+ V(90)      V(91)      V(92)      V(93)      V(94)      V(95)      V(96)     
-+ V(97)      V(98)      V(99)      V(100)     V(101)     V(102)     V(103)    
-+ V(104)     V(105)     V(106)     V(107)     V(108)     V(109)     V(110)    
-+ V(111)     V(112)     V(113)     V(114)     V(115)     V(116)     V(117)    
-+ V(118)     V(119)     V(120)     V(121)     V(122)     V(123)     V(124)    
-+ V(125)     V(126)     V(127)     V(128)     V(129)     V(130)     V(131)    
-+ V(132)     V(133)     V(134)     V(135)     V(136)     V(137)     V(138)    
-+ V(139)     V(140)     V(141)     V(142)     V(143)     V(144)     V(145)    
-+ V(146)     V(147)     V(148)     V(149)     V(150)     V(151)     V(152)    
-+ V(153)     V(154)     V(155)     V(156)     V(157)     V(158)     V(159)    
-+ V(160)     V(161)     V(162)     V(163)     V(164)     V(165)     V(166)    
-+ V(167)     V(168)     V(169)     V(170)     V(171)     V(172)     V(173)    
-+ V(174)     V(175)     V(176)     V(177)     V(178)     V(179)     V(180)    
-+ V(181)     V(182)     V(183)     V(184)     V(185)     V(186)     V(187)    
-+ V(188)     V(189)     V(190)     V(191)     V(192)     V(193)     V(194)    
-+ V(195)     V(196)     V(197)     V(198)     V(199)     V(200)     V(201)    
- 300.15     24.        0.8        5.         3.0455     5.         0.49727   
-+ 5.         3.2034     5.         0.46622    5.         3.2034     5.        
-+ 0.46622    5.         3.2034     5.         0.46622    5.         3.2034    
-+ 5.         0.46622    5.         3.2034     5.         0.46622    5.        
-+ 3.2034     5.         0.46622    5.         3.2034     5.         0.46622   
-+ 5.         3.2034     5.         0.46622    5.         3.2034     5.        
-+ 0.46622    5.         3.2034     5.         0.46622    5.         3.2034    
-+ 5.         0.46622    5.         3.2034     5.         0.46622    5.        
-+ 3.2034     5.         0.46622    5.         3.2034     5.         0.46622   
-+ 5.         3.2034     5.         0.46622    5.         3.2034     5.        
-+ 0.46622    5.         3.2034     5.         0.46622    5.         3.2034    
-+ 5.         0.46622    5.         3.2034     5.         0.46622    5.        
-+ 3.2034     5.         0.46622    5.         3.2034     5.         0.46622   
-+ 5.         3.2034     5.         0.46622    5.         3.2034     5.        
-+ 0.46622    5.         3.2034     5.         0.46622    5.         3.2034    
-+ 5.         0.46622    5.         3.2034     5.         0.46622    5.        
-+ 3.2034     5.         0.46622    5.         3.2034     5.         0.46622   
-+ 5.         3.2034     5.         0.46622    5.         3.2034     5.        
-+ 0.46622    5.         3.2034     5.         0.46622    5.         3.2034    
-+ 5.         0.46622    5.         3.2034     5.         0.46622    5.        
-+ 3.2034     5.         0.46622    5.         3.2034     5.         0.46622   
-+ 5.         3.2034     5.         0.46622    5.         3.2034     5.        
-+ 0.46622    5.         3.2034     5.         0.46622    5.         3.2034    
-+ 5.         0.46622    5.         3.2034     5.         0.46622    5.        
-+ 3.2034     5.         0.46622    5.         3.2034     5.         0.46622   
-+ 5.         3.2034     5.         0.46622    5.         3.2034     5.        
-+ 0.46622    5.         3.2034     5.         0.46622    5.         3.2034    
-+ 5.         0.46622    5.         3.2034     5.         0.46622    5.        
-+ 3.2034     5.         0.46622    5.         3.2034     5.         0.46622   
-ACS (Al's Circuit Simulator) 0.2808
-Never trust any version less than 1.0
-Copyright 1982-2000, Albert Davis
-ACS comes with ABSOLUTELY NO WARRANTY
-This is free software, and you are welcome
-to redistribute it under certain conditions
-according to the GNU General Public License.
-See the file "COPYING" for details
-2 stage op-amp open loop  02/16/88 
-#           iter(0)    v(1)       v(2)       v(3)       v(4)       v(5)      
-+ v(6)       v(7)       v(8)       v(9)      
- 300.15     18.        0.         0.         0.87501    0.87512   -1.1707    
-+-0.23876   -1.6303    -2.5        2.5       
-vdb(6) 0.                20.              40.               60.             80.
-vp(6)-180.              -90.              0.                90.            180.
-        +-----------------+----------------+-----------------+----------------+
- 1.     |                 .               +.                 .        *       |
- 1.5849 |                 .              + .                 .        *       |
- 2.5119 |                 .            +   .                 .        *       |
- 3.9811 |                 .           +    .                 .       *        |
- 6.3096 |                 .        +       .                 .      *         |
- 10.    |                 .      +         .                 .    *           |
- 15.849 |                 .   +            .                 .  *             |
- 25.119 |                 .  +             .                 *                |
- 39.811 |                 . +              .             *   .                |
- 63.096 |                 .+               .          *      .                |
- 100.   |                 +                .       *         .                |
- 158.49 |                 +                .   *             .                |
- 251.19 |                 +                .*                .                |
- 398.11 |                 +             *  .                 .                |
- 630.96 |                +.          *     .                 .                |
- 1.K    |                +.      *         .                 .                |
- 1.5849K|                +.   *            .                 .                |
- 2.5119K|                +*                .                 .                |
- 3.9811K|              *+ .                .                 .                |
- 6.3096K|          *   +  .                .                 .                |
- 10.K   |      *      +   .                .                 .                |
- 15.849K|  *        +     .                .                 .                |
- 25.119K*        +        .                .                 .                |
- 39.811K*    +            .                .                 .                |
- 63.096K*+                .                .                 .                |
- 100.K  *                 .                .                 .             +  |
- 158.49K*                 .                .                 .         +      |
- 251.19K*                 .                .                 .      +         |
- 398.11K*                 .                .                 .   +            |
- 630.96K*                 .                .                 . +              |
- 1.Meg  *                 .                .                 .+               |
- 1.5849M*                 .                .                +.                |
- 2.5119M*                 .                .               + .                |
- 3.9811M*                 .                .              +  .                |
- 6.3096M*                 .                .             +   .                |
- 10.Meg *                 .                .            +    .                |
-        +-----------------+----------------+-----------------+----------------+
-v(6)  -4.               -2.               0.                2.               4.
-        +-----------------+----------------+-----------------+----------------+
--500.u  |             *   .                .                 .                |
--480.u  |             *   .                .                 .                |
--460.u  |             *   .                .                 .                |
--440.u  |             *   .                .                 .                |
--420.u  |             *   .                .                 .                |
--400.u  |             *   .                .                 .                |
--380.u  |             *   .                .                 .                |
--360.u  |             *   .                .                 .                |
--340.u  |             *   .                .                 .                |
--320.u  |             *   .                .                 .                |
--300.u  |             *   .                .                 .                |
--280.u  |             *   .                .                 .                |
--260.u  |             *   .                .                 .                |
--240.u  |             *   .                .                 .                |
--220.u  |             *   .                .                 .                |
--200.u  |             *   .                .                 .                |
--180.u  |             *   .                .                 .                |
--160.u  |             *   .                .                 .                |
--140.u  |               * .                .                 .                |
--120.u  |                *.                .                 .                |
--100.u  |                 . *              .                 .                |
--80.u   |                 .   *            .                 .                |
--60.u   |                 .      *         .                 .                |
--40.u   |                 .        *       .                 .                |
--20.u   |                 .           *    .                 .                |
- 0.     |                 .             *  .                 .                |
- 20.u   |                 .                *                 .                |
- 40.u   |                 .                . *               .                |
- 60.u   |                 .                .    *            .                |
- 80.u   |                 .                .      *          .                |
- 100.u  |                 .                .         *       .                |
- 120.u  |                 .                .           *     .                |
- 140.u  |                 .                .             *   .                |
- 160.u  |                 .                .               * .                |
- 180.u  |                 .                .                 *                |
- 200.u  |                 .                .                 .*               |
- 220.u  |                 .                .                 .  *             |
- 240.u  |                 .                .                 .  *             |
- 260.u  |                 .                .                 .  *             |
- 280.u  |                 .                .                 .  *             |
- 300.u  |                 .                .                 .  *             |
- 320.u  |                 .                .                 .  *             |
- 340.u  |                 .                .                 .  *             |
- 360.u  |                 .                .                 .  *             |
- 380.u  |                 .                .                 .  *             |
- 400.u  |                 .                .                 .  *             |
- 420.u  |                 .                .                 .  *             |
- 440.u  |                 .                .                 .  *             |
- 460.u  |                 .                .                 .  *             |
- 480.u  |                 .                .                 .  *             |
- 500.u  |                 .                .                 .  *             |
-        +-----------------+----------------+-----------------+----------------+
-vdb(6) 0.                20.              40.               60.             80.
-vp(6)-180.              -90.              0.                90.            180.
-        +-----------------+----------------+-----------------+----------------+
- 1.     |                 .      *         +                 .                |
- 1.5849 |                 .      *         +                 .                |
- 2.5119 |                 .      *         +                 .                |
- 3.9811 |                 .      *         +                 .                |
- 6.3096 |                 .      *         +                 .                |
- 10.    |                 .      *         +                 .                |
- 15.849 |                 .      *         +                 .                |
- 25.119 |                 .      *        +.                 .                |
- 39.811 |                 .      *        +.                 .                |
- 63.096 |                 .      *       + .                 .                |
- 100.   |                 .      *       + .                 .                |
- 158.49 |                 .     *      +   .                 .                |
- 251.19 |                 .     *    +     .                 .                |
- 398.11 |                 .    *   +       .                 .                |
- 630.96 |                 .  *  +          .                 .                |
- 1.K    |                 *   +            .                 .                |
- 1.5849K|              *  . +              .                 .                |
- 2.5119K|           *     .+               .                 .                |
- 3.9811K|       *        +.                .                 .                |
- 6.3096K|    *          + .                .                 .                |
- 10.K   |*            +   .                .                 .                |
- 15.849K*           +     .                .                 .                |
- 25.119K*        +        .                .                 .                |
- 39.811K*    +            .                .                 .                |
- 63.096K*                 .                .                 .                |
- 100.K  *                 .                .                 .           +    |
- 158.49K*                 .                .                 .       +        |
- 251.19K*                 .                .                 .    +           |
- 398.11K*                 .                .                 .  +             |
- 630.96K*                 .                .                 .+               |
- 1.Meg  *                 .                .                 +                |
- 1.5849M*                 .                .                +.                |
- 2.5119M*                 .                .               + .                |
- 3.9811M*                 .                .              +  .                |
- 6.3096M*                 .                .             +   .                |
- 10.Meg *                 .                .            +    .                |
-        +-----------------+----------------+-----------------+----------------+
-cgs(M7)
-       5.f              5.5f              6.f              6.5f             7.f
-        +-----------------+----------------+-----------------+----------------+
--500.u  |                 *                .                 .                |
--480.u  |                 .*               .                 .                |
--460.u  |                 . *              .                 .                |
--440.u  |                 .  *             .                 .                |
--420.u  |                 .    *           .                 .                |
--400.u  |                 .     *          .                 .                |
--380.u  |                 .      *         .                 .                |
--360.u  |                 .       *        .                 .                |
--340.u  |                 .         *      .                 .                |
--320.u  |                 .          *     .                 .                |
--300.u  |                 .             *  .                 .                |
--280.u  |                 .              * .                 .                |
--260.u  |                 .                .*                .                |
--240.u  |                 .                . *               .                |
--220.u  |                 .                .    *            .                |
--200.u  |                 .                .      *          .                |
--180.u  |                 .                .          *      .                |
--160.u  |                 .                .            *    .                |
--140.u  |                 .                .                *.                |
--120.u  |                 .                .                 .*               |
--100.u  |                 .                .                 .  *             |
--80.u   |                 .                .                 .   *            |
--60.u   |                 .                .                 .     *          |
--40.u   |                 .                .                 .      *         |
--20.u   |                 .                .                 .       *        |
- 0.     |                 .                .                 .        *       |
- 20.u   |                 .                .                 .          *     |
- 40.u   |                 .                .                 .          *     |
- 60.u   |                 .                .                 .           *    |
- 80.u   |                 .                .                 .            *   |
- 100.u  |                 .                .                 .             *  |
- 120.u  |                 .                .                 .             *  |
- 140.u  |                 .                .                 .              * |
- 160.u  |                 .                .                 .               *|
- 180.u  |                 .                .                 .               *|
- 200.u  |                 .                .                 .                *
- 220.u  |                 .                .                 .                *
- 240.u  |                 .                .                 .                *
- 260.u  |                 .                .                 .                *
- 280.u  |                 .                .                 .                *
- 300.u  |                 .                .                 .                *
- 320.u  |                 .                .                 .                *
- 340.u  |                 .                .                 .                *
- 360.u  |                 .                .                 .                *
- 380.u  |                 .                .                 .                *
- 400.u  |                 .                .                 .                *
- 420.u  |                 .                .                 .                *
- 440.u  |                 .                .                 .                *
- 460.u  |                 .                .                 .                *
- 480.u  |                 .                .                 .                *
- 500.u  |                 .                .                 .                *
-        +-----------------+----------------+-----------------+----------------+
-ACS (Al's Circuit Simulator) 0.2808
-Never trust any version less than 1.0
-Copyright 1982-2000, Albert Davis
-ACS comes with ABSOLUTELY NO WARRANTY
-This is free software, and you are welcome
-to redistribute it under certain conditions
-according to the GNU General Public License.
-See the file "COPYING" for details
-2 stage op-amp, conected as voltage follower 02/16/88 
-#           iter(0)    v(1)       v(3)       v(4)       v(5)       v(6)      
-+ v(7)       v(8)       v(9)      
- 300.15     20.       -1.         0.87713    0.88005   -1.9308    -0.99992   
-+-1.6303    -2.5        2.5       
-vdb(6)-90.              -60.             -30.               0.              30.
-vp(6)-180.              -90.              0.                90.            180.
-        +-----------------+----------------+-----------------+----------------+
- 1.     |                 .                +                *.                |
- 1.5849 |                 .                +                *.                |
- 2.5119 |                 .                +                *.                |
- 3.9811 |                 .                +                *.                |
- 6.3096 |                 .                +                *.                |
- 10.    |                 .                +                *.                |
- 15.849 |                 .                +                *.                |
- 25.119 |                 .                +                *.                |
- 39.811 |                 .                +                *.                |
- 63.096 |                 .                +                *.                |
- 100.   |                 .                +                *.                |
- 158.49 |                 .                +                *.                |
- 251.19 |                 .                +                *.                |
- 398.11 |                 .                +                *.                |
- 630.96 |                 .                +                 *                |
- 1.K    |                 .                +                 *                |
- 1.5849K|                 .               +.                 *                |
- 2.5119K|                 .               +.                 *                |
- 3.9811K|                 .              + .                 *                |
- 6.3096K|                 .             +  .                 *                |
- 10.K   |                 .           +    .                 *                |
- 15.849K|                 .        +       .                 *                |
- 25.119K|                 . +              .                 *                |
- 39.811K|        +        .                .               * .                |
- 63.096K| +               .                .          *      .                |
- 100.K  |                 .                .      *          .             +  |
- 158.49K|                 .                .  *              .         +      |
- 251.19K|                 .                *                 .      +         |
- 398.11K|                 .             *  .                 .   +            |
- 630.96K|                 .           *    .                 . +              |
- 1.Meg  |                 .        *       .                 .+               |
- 1.5849M|                 .      *         .                +.                |
- 2.5119M|                 .   *            .                +.                |
- 3.9811M|                 .*               .                +.                |
- 6.3096M|                *.                .                +.                |
- 10.Meg |              *  .                .                 +                |
-        +-----------------+----------------+-----------------+----------------+
-v(6)  -4.               -2.               0.                2.               4.
-        +-----------------+----------------+-----------------+----------------+
--5.     |            *    .                .                 .                |
--4.8    |            *    .                .                 .                |
--4.6    |            *    .                .                 .                |
--4.4    |            *    .                .                 .                |
--4.2    |            *    .                .                 .                |
--4.     |            *    .                .                 .                |
--3.8    |            *    .                .                 .                |
--3.6    |            *    .                .                 .                |
--3.4    |            *    .                .                 .                |
--3.2    |            *    .                .                 .                |
--3.     |            *    .                .                 .                |
--2.8    |            *    .                .                 .                |
--2.6    |            *    .                .                 .                |
--2.4    |             *   .                .                 .                |
--2.2    |               * .                .                 .                |
--2.     |                *.                .                 .                |
--1.8    |                 .*               .                 .                |
--1.6    |                 .  *             .                 .                |
--1.4    |                 .    *           .                 .                |
--1.2    |                 .      *         .                 .                |
--1.     |                 .       *        .                 .                |
--0.8    |                 .         *      .                 .                |
--0.6    |                 .           *    .                 .                |
--0.4    |                 .             *  .                 .                |
--0.2    |                 .              * .                 .                |
- 0.     |                 .                *                 .                |
- 0.2    |                 .                . *               .                |
- 0.4    |                 .                .  *              .                |
- 0.6    |                 .                .    *            .                |
- 0.8    |                 .                .      *          .                |
- 1.     |                 .                .        *        .                |
- 1.2    |                 .                .         *       .                |
- 1.4    |                 .                .           *     .                |
- 1.6    |                 .                .             *   .                |
- 1.8    |                 .                .               * .                |
- 2.     |                 .                .                *.                |
- 2.2    |                 .                .                 .*               |
- 2.4    |                 .                .                 .  *             |
- 2.6    |                 .                .                 .  *             |
- 2.8    |                 .                .                 .  *             |
- 3.     |                 .                .                 .  *             |
- 3.2    |                 .                .                 .  *             |
- 3.4    |                 .                .                 .  *             |
- 3.6    |                 .                .                 .  *             |
- 3.8    |                 .                .                 .  *             |
- 4.     |                 .                .                 .  *             |
- 4.2    |                 .                .                 .  *             |
- 4.4    |                 .                .                 .  *             |
- 4.6    |                 .                .                 .  *             |
- 4.8    |                 .                .                 .  *             |
- 5.     |                 .                .                 .  *             |
-        +-----------------+----------------+-----------------+----------------+
-v(6)  -4.               -2.               0.                2.               4.
-        +-----------------+----------------+-----------------+----------------+
- 0.     |                 .       *        .                 .                |
- 10.u   |                 .       *        .                 .                |
- 20.u   |                 .       *        .                 .                |
- 30.u   |                 .       *        .                 .                |
- 40.u   |                 .       *        .                 .                |
- 50.u   |                 .       *        .                 .                |
- 60.u   |                 .       *        .                 .                |
- 70.u   |                 .       *        .                 .                |
- 80.u   |                 .       *        .                 .                |
- 90.u   |                 .       *        .                 .                |
- 100.u  |                 .       *        .                 .                |
- 110.u  |                 .       *        .                 .                |
- 120.u  |                 .       *        .                 .                |
- 130.u  |                 .       *        .                 .                |
- 140.u  |                 .       *        .                 .                |
- 150.u  |                 .       *        .                 .                |
- 160.u  |                 .       *        .                 .                |
- 170.u  |                 .       *        .                 .                |
- 180.u  |                 .       *        .                 .                |
- 190.u  |                 .       *        .                 .                |
- 200.u  |                 .       *        .                 .                |
- 210.u  |                 .         *      .                 .                |
- 220.u  |                 .               *.                 .                |
- 230.u  |                 .                .    *            .                |
- 240.u  |                 .                .        *        .                |
- 250.u  |                 .                .        *        .                |
- 260.u  |                 .                .        *        .                |
- 270.u  |                 .                .        *        .                |
- 280.u  |                 .                .        *        .                |
- 290.u  |                 .                .        *        .                |
- 300.u  |                 .                .        *        .                |
- 310.u  |                 .                .        *        .                |
- 320.u  |                 .                .        *        .                |
- 330.u  |                 .                .        *        .                |
- 340.u  |                 .                .        *        .                |
- 350.u  |                 .                .        *        .                |
- 360.u  |                 .                .        *        .                |
- 370.u  |                 .                .        *        .                |
- 380.u  |                 .                .        *        .                |
- 390.u  |                 .                .        *        .                |
- 400.u  |                 .                .        *        .                |
- 410.u  |                 .                .        *        .                |
- 420.u  |                 .                .        *        .                |
- 430.u  |                 .                .        *        .                |
- 440.u  |                 .                .        *        .                |
- 450.u  |                 .                .        *        .                |
- 460.u  |                 .                .        *        .                |
- 470.u  |                 .                .        *        .                |
- 480.u  |                 .                .        *        .                |
- 490.u  |                 .                .        *        .                |
- 500.u  |                 .                .        *        .                |
- 510.u  |                 .                .        *        .                |
- 520.u  |                 .                .        *        .                |
- 530.u  |                 .                .       *         .                |
- 540.u  |                 .                .      *          .                |
- 550.u  |                 .                .    *            .                |
- 560.u  |                 .                .   *             .                |
- 570.u  |                 .                .  *              .                |
- 580.u  |                 .                .*                .                |
- 590.u  |                 .                *                 .                |
- 600.u  |                 .               *.                 .                |
- 610.u  |                 .              * .                 .                |
- 620.u  |                 .            *   .                 .                |
- 630.u  |                 .           *    .                 .                |
- 640.u  |                 .          *     .                 .                |
- 650.u  |                 .        *       .                 .                |
- 660.u  |                 .      *         .                 .                |
- 670.u  |                 .    *           .                 .                |
- 680.u  |                 .   *            .                 .                |
- 690.u  |                 .      *         .                 .                |
- 700.u  |                 .        *       .                 .                |
- 710.u  |                 .       *        .                 .                |
- 720.u  |                 .       *        .                 .                |
- 730.u  |                 .       *        .                 .                |
- 740.u  |                 .       *        .                 .                |
- 750.u  |                 .       *        .                 .                |
- 760.u  |                 .       *        .                 .                |
- 770.u  |                 .       *        .                 .                |
- 780.u  |                 .       *        .                 .                |
- 790.u  |                 .       *        .                 .                |
- 800.u  |                 .       *        .                 .                |
- 810.u  |                 .         *      .                 .                |
- 820.u  |                 .               *.                 .                |
- 830.u  |                 .                .    *            .                |
- 840.u  |                 .                .        *        .                |
- 850.u  |                 .                .        *        .                |
- 860.u  |                 .                .        *        .                |
- 870.u  |                 .                .        *        .                |
- 880.u  |                 .                .        *        .                |
- 890.u  |                 .                .        *        .                |
- 900.u  |                 .                .        *        .                |
- 910.u  |                 .                .        *        .                |
- 920.u  |                 .                .        *        .                |
- 930.u  |                 .                .        *        .                |
- 940.u  |                 .                .        *        .                |
- 950.u  |                 .                .        *        .                |
- 960.u  |                 .                .        *        .                |
- 970.u  |                 .                .        *        .                |
- 980.u  |                 .                .        *        .                |
- 990.u  |                 .                .        *        .                |
- 0.001  |                 .                .        *        .                |
-        +-----------------+----------------+-----------------+----------------+
diff --git a/examples/sc18.ckt b/examples/sc18.ckt
deleted file mode 100644
index 18ed661..0000000
--- a/examples/sc18.ckt
+++ /dev/null
@@ -1,44 +0,0 @@
-18 cascaded NMOS inverters
-md1  3 2 0 0  modeld w=10u l=2u
-ml1  1 1 3 0  modell w=2u l=2u
-md2  4 3 0 0  modeld w=10u l=2u
-ml2  1 1 4 0  modell w=2u l=2u
-md3  5 4 0 0  modeld w=10u l=2u
-ml3  1 1 5 0  modell w=2u l=2u
-md4  6 5 0 0  modeld w=10u l=2u
-ml4  1 1 6 0  modell w=2u l=2u
-md5  7 6 0 0  modeld w=10u l=2u
-ml5  1 1 7 0  modell w=2u l=2u
-md6  8 7 0 0  modeld w=10u l=2u
-ml6  1 1 8 0  modell w=2u l=2u
-md7  9 8 0 0  modeld w=10u l=2u
-ml7  1 1 9 0  modell w=2u l=2u
-md8  10 9 0 0  modeld w=10u l=2u
-ml8  1 1 10 0  modell w=2u l=2u
-md9  11 10 0 0  modeld w=10u l=2u
-ml9  1 1 11 0  modell w=2u l=2u
-md10  12 11 0 0  modeld w=10u l=2u
-ml10  1 1 12 0  modell w=2u l=2u
-md11  13 12 0 0  modeld w=10u l=2u
-ml11  1 1 13 0  modell w=2u l=2u
-md12  14 13 0 0  modeld w=10u l=2u
-ml12  1 1 14 0  modell w=2u l=2u
-md13  15 14 0 0  modeld w=10u l=2u
-ml13  1 1 15 0  modell w=2u l=2u
-md14  16 15 0 0  modeld w=10u l=2u
-ml14  1 1 16 0  modell w=2u l=2u
-md15  17 16 0 0  modeld w=10u l=2u
-ml15  1 1 17 0  modell w=2u l=2u
-md16  18 17 0 0  modeld w=10u l=2u
-ml16  1 1 18 0  modell w=2u l=2u
-md17  19 18 0 0  modeld w=10u l=2u
-ml17  1 1 19 0  modell w=2u l=2u
-md18  20 19 0 0  modeld w=10u l=2u
-ml18  1 1 20 0  modell w=2u l=2u
-vdd 1 0 5
-vin 2 0 .8
-.MODEL MODELD NMOS (level=2 KP=28U VTO=0.7 LAMBDA=0.01 GAMMA=0.9 PHI=0.5 nfs=1e12)
-.MODEL MODELL NMOS (level=2 KP=28U VTO=0.7 LAMBDA=0.01 GAMMA=0.9 PHI=0.5 nfs=1e12)
-.PRINT OP iter(0) V(nodes)
-.op
-.end
diff --git a/include/Make.depend b/include/Make.depend
new file mode 100644
index 0000000..e69de29
diff --git a/modelgen/Make1 b/include/Make1
similarity index 70%
copy from modelgen/Make1
copy to include/Make1
index 0f66e9a..91a9ae6 100644
--- a/modelgen/Make1
+++ b/include/Make1
@@ -1,5 +1,4 @@
-#$Id: Make1,v 26.81 2008/05/27 05:33:43 al Exp $ -*- Makefile -*-
-#
+#$Id: Make1,v 26.138 2013/04/24 02:32:27 al Exp $ -*- Makefile -*-
 # Copyright (C) 2001 Albert Davis
 # Author: Albert Davis <aldavis at gnu.org>
 #
@@ -20,36 +19,43 @@
 # Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
 # 02110-1301, USA.
 #------------------------------------------------------------------------
-TARGET = gnucap-modelgen
+TARGET =
 #------------------------------------------------------------------------
-DELETED =
+INSTALL_FILES = $(RAW_SRCS) $(RAW_HDRS)
 #------------------------------------------------------------------------
+INSTALL_DIR = $(PREFIX)/include/gnucap
 #------------------------------------------------------------------------
-RAW_SRCS = \
-mg_main.cc mg_error.cc mg_in.cc mg_out_h.cc mg_out_dump.cc \
-mg_out_common.cc mg_out_dev.cc mg_out_lib.cc mg_out_model.cc mg_out_root.cc
+DELETED =
 #------------------------------------------------------------------------
-RAW_HDRS = mg_.h mg_out.h declare.h
+RAW_SRCS = \
+spice-wrapper.cc
+#------------------------------------------------------------------------
+RAW_HDRS = \
+ap.h bm.h c_comand.h constant.h d_coment.h d_dot.h d_logic.h \
+d_subckt.h declare.h e_aux.h e_base.h e_card.h e_cardlist.h e_ccsrc.h \
+e_compon.h e_elemnt.h e_model.h e_node.h e_storag.h e_subckt.h \
+globals.h io_.h io_error.h io_trace.h l_compar.h l_denoise.h \
+l_dispatcher.h l_lib.h l_stlextra.h l_timer.h m_base.h m_cpoly.h \
+m_divdiff.h m_expression.h m_interp.h m_matrix.h m_phase.h m_spline.h \
+m_wave.h md.h mode.h patchlev.h s__.h s_tr.h trace_off.h trace_on.h \
+u_cardst.h u_function.h u_lang.h u_limit.h u_nodemap.h u_opt.h \
+u_parameter.h u_prblst.h u_probe.h u_sdp.h u_sim_data.h u_status.h \
+u_time_pair.h u_xprobe.h
 #------------------------------------------------------------------------
 RAW_OTHER = \
-configure.old Make1 \
-Makefile.am
+configure Make1
 #------------------------------------------------------------------------
-RAW = $(RAW_HDRS) $(RAW_SRCS) $(RAW_OTHER)
+RAW = $(RAW_HDRS) $(RAW_SRCS) $(MODELS) $(RAW_OTHER)
 #------------------------------------------------------------------------
 #------------------------------------------------------------------------
-IMPORTED_SRCS = \
-ap_construct.cc ap_convert.cc ap_get.cc ap_match.cc ap_skip.cc \
-l_trim.cc u_opt1.cc io_out.cc io.cc
-IMPORTED_HDRS = \
-ap.h io_.h io_error.h io_trace.h l_lib.h md.h patchlev.h u_opt.h mode.h
-IMPORTED_OTHER = Makefile.in \
-Make2.g++ Make2.Debug Make2.mingw32 Make3 Makefile.template
+IMPORTED_SRCS =
+IMPORTED_HDRS =
+IMPORTED_OTHER =
 IMPORTED = $(IMPORTED_SRCS) $(IMPORTED_HDRS) $(IMPORTED_OTHER)
 #------------------------------------------------------------------------
 #------------------------------------------------------------------------
-GENERATED_SRCS =
-GENERATED_HDRS =
+GENERATED_SRCS = ${MODELS:.model=.cc}
+GENERATED_HDRS = ${MODELS:.model=.h}
 GENERATED_OTHER = Make.aux
 GENERATED = $(GENERATED_HDRS) $(GENERATED_SRCS) $(GENERATED_OTHER)
 #------------------------------------------------------------------------
@@ -59,10 +65,10 @@ IMPORTED_DIST = $(IMPORTED)
 DISTFILES = $(RAW) $(GENERATED_DIST) $(IMPORTED_DIST)
 #------------------------------------------------------------------------
 #------------------------------------------------------------------------
-SRCS = $(RAW_SRCS) $(GENERATED_SRCS) $(IMPORTED_SRCS)
+SRCS = $(IMPORTED_SRCS) $(GENERATED_SRCS) $(RAW_SRCS)
 HDRS = $(RAW_HDRS) $(GENERATED_HDRS) $(IMPORTED_HDRS)
 OBJS = ${SRCS:.cc=.o}
-TARGET_DEPENDS = $(OBJS) $(RAW)
+TARGET_DEPENDS = $(OBJS) $(RAW) $(MODELS)
 #------------------------------------------------------------------------
 #------------------------------------------------------------------------
 MOSTLYCLEANFILES = $(OBJS) $(GENERATED)
diff --git a/include/configure b/include/configure
new file mode 100755
index 0000000..9894926
--- /dev/null
+++ b/include/configure
@@ -0,0 +1,29 @@
+#!/bin/sh
+# configure for stuff that just needs to be installed
+
+#-------------  Stuff added to enable --prefix  --------------
+if test "x$1" != "x"; then
+    # echo Found input parameter -- $1
+    #  Now see if the parameter is --prefix=
+    if test "x${1#--prefix=}" != "x$1"; then
+        # echo "Found --prefix in input args.  Setting prefix directory."
+        prefix=${1#--prefix=}
+    else
+        # echo "Found unrecognized parameter in input args."
+        #  Just use the default prefix dir.
+        prefix=/usr/local
+    fi
+ 
+else
+    # echo "No input parameter found."
+    #  Just use the default prefix dir
+    prefix=/usr/local
+fi
+#----------------------------------------------------------------
+echo "" >Make2
+echo "PREFIX = " $prefix >>Make2
+echo "" >>Make2
+
+cat Make1 Make2 ../Make3 >Makefile
+
+exit 0
diff --git a/include/e_base.h b/include/e_base.h
index b52f4ab..d7691ca 100644
--- a/include/e_base.h
+++ b/include/e_base.h
@@ -1,4 +1,4 @@
-/*$Id: e_base.h,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: e_base.h,v 26.138 2013/04/24 02:32:27 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -31,6 +31,7 @@ class XPROBE;
 class WAVE;
 class OMSTREAM;
 class SIM_DATA;
+class PROBE_LISTS;
 /*--------------------------------------------------------------------------*/
 class INTERFACE CKT_BASE {
 private:
@@ -38,6 +39,7 @@ private:
   std::string	_label;
 public:
   static SIM_DATA* _sim;
+  static PROBE_LISTS* _probe_lists;
   //--------------------------------------------------------------------
 protected: // create and destroy
   explicit CKT_BASE()			  :_probes(0), _label() {}
diff --git a/include/e_cardlist.h b/include/e_cardlist.h
index ef783d1..01c94ea 100644
--- a/include/e_cardlist.h
+++ b/include/e_cardlist.h
@@ -1,4 +1,4 @@
-/*$Id: e_cardlist.h,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: e_cardlist.h,v 26.138 2013/04/24 02:32:27 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -41,7 +41,6 @@ private:
   const CARD_LIST* _parent;
   mutable NODE_MAP* _nm;
   mutable PARAM_LIST* _params;
-  LANGUAGE* _language;
   std::list<CARD*> _cl;
 public:
   // internal types
@@ -77,7 +76,6 @@ public:
   // status queries
   bool is_empty()const			{return _cl.empty();}
   const CARD_LIST* parent()const	{return _parent;}
-  const LANGUAGE* language()const	{untested(); return _language;}
 
   // return an iterator
   iterator begin()			{return _cl.begin();}
diff --git a/include/e_compon.h b/include/e_compon.h
index 38dcbce..9609f54 100644
--- a/include/e_compon.h
+++ b/include/e_compon.h
@@ -1,4 +1,4 @@
-/*$Id: e_compon.h,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: e_compon.h,v 26.138 2013/04/24 02:32:27 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -109,8 +109,8 @@ public:
 
   virtual void	tr_eval(ELEMENT*)const;
   virtual void	ac_eval(ELEMENT*)const;
-  virtual TIME_PAIR tr_review(COMPONENT*) {return TIME_PAIR(NEVER,NEVER);}
-  virtual void  tr_accept(COMPONENT*)	{}
+  virtual TIME_PAIR tr_review(COMPONENT*)const {return TIME_PAIR(NEVER,NEVER);}
+  virtual void  tr_accept(COMPONENT*)const	{}
   virtual bool	has_tr_eval()const	{untested(); return false;}
   virtual bool	has_ac_eval()const	{untested(); return false;}
 
diff --git a/include/e_model.h b/include/e_model.h
index 639a29d..7f700cd 100644
--- a/include/e_model.h
+++ b/include/e_model.h
@@ -1,4 +1,4 @@
-/*$Id: e_model.h,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: e_model.h,v 26.138 2013/04/24 02:32:27 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -29,6 +29,7 @@
 /*--------------------------------------------------------------------------*/
 // external
 class COMPONENT;
+class COMMON_COMPONENT;
 /*--------------------------------------------------------------------------*/
 class SDP_CARD {
 private:
diff --git a/include/globals.h b/include/globals.h
index ef24480..e7317ed 100644
--- a/include/globals.h
+++ b/include/globals.h
@@ -1,4 +1,4 @@
-/*$Id: globals.h,v 26.136 2009/12/07 23:20:42 al Exp $ -*- C++ -*-
+/*$Id: globals.h,v 26.138 2013/04/24 02:32:27 al Exp $ -*- C++ -*-
  * Copyright (C) 2007 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -23,6 +23,8 @@
  */
 #include "l_dispatcher.h"
 
+extern const char*  lib_version();
+
 class CMD;
 class COMMON_COMPONENT;
 class MODEL_CARD;
diff --git a/include/io_.h b/include/io_.h
index 0aabb68..23b9630 100644
--- a/include/io_.h
+++ b/include/io_.h
@@ -1,4 +1,4 @@
-/*$Id: io_.h,v 26.81 2008/05/27 05:34:00 al Exp $ -*- C++ -*-
+/*$Id: io_.h,v 26.138 2013/04/24 02:32:27 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -32,11 +32,12 @@ const int MAXHANDLE = CHAR_BIT*sizeof(int)-1;
 /*--------------------------------------------------------------------------*/
 class INTERFACE OMSTREAM {
 private:
+  static FILE* _stream[MAXHANDLE+1];
+  static unsigned _cpos[MAXHANDLE+1];/* character counter */
   int _mask;
   int _fltdig;			/* max precision for float/double numbers */
   int _fltwid;			/* fixed(min)width for float/double numbers */
   int _format;			/* how to format io.  Basic option. */
-  static unsigned _cpos[MAXHANDLE+1];/* character counter */
   bool _cipher;			/* flag: encrypt output file */
   bool _pack;			/* flag: convert whitespace to tabs on out */
 
@@ -45,7 +46,25 @@ private:
 public:
   explicit OMSTREAM(FILE* f = 0)
     :_mask(0),_fltdig(7),_fltwid(0),_format(0),_cipher(false), _pack(false)
-    {_mask = (f) ? 1<<fileno(f) : 0;}
+  {
+    if (f) {
+      for (int ii=1; ; ++ii) {
+	if (_stream[ii] == 0) {
+	  _stream[ii] = f;
+	  _mask = 1 << ii;
+	  break;
+	}else if (_stream[ii] == f) {
+	  _mask = 1 << ii;
+	  break;
+	}else if (ii >= MAXHANDLE) {unreachable();
+	  break;
+	}else{
+	  // keep looking
+	}
+      }
+    }else{
+    }
+  }
   OMSTREAM& operator=(const OMSTREAM& x)  {_mask = x._mask; return *this;}
   OMSTREAM& attach(const OMSTREAM& x)	{itested();_mask |= x._mask; return *this;}
   OMSTREAM& attach(FILE* f)		{itested();return attach(OMSTREAM(f));}
@@ -89,7 +108,6 @@ public:
   static bool	  plotset;		/* plot on by default flag */
   static int	  formaat;		/* how to format io.  Basic option. */
   static bool	  incipher;		/* flag: decrypt input file */
-  static FILE*	  stream[MAXHANDLE+1];	/* reverse of fileno() */
 };
 /*--------------------------------------------------------------------------*/
 /* contrl */ INTERFACE void	   initio(OMSTREAM&);
diff --git a/include/io_error.h b/include/io_error.h
index 28543e7..e636664 100644
--- a/include/io_error.h
+++ b/include/io_error.h
@@ -1,4 +1,4 @@
-/*$Id: io_error.h,v 26.130 2009/11/15 21:51:59 al Exp $ -*- C++ -*-
+/*$Id: io_error.h,v 26.138 2013/04/24 02:32:27 al Exp $ -*- C++ -*-
  * data for error and exception handling
  *
  * Copyright (C) 2001 Albert Davis
@@ -101,6 +101,11 @@ struct Exception_End_Of_Input :public Exception{
     :Exception(Message) {
   }
 };
+struct Exception_Quit :public Exception{
+  Exception_Quit(const std::string& Message) 
+    :Exception(Message) {
+  }
+};
 struct Exception_File_Open :public Exception{
   Exception_File_Open(const std::string& Message) 
     :Exception(Message) {
diff --git a/include/m_base.h b/include/m_base.h
index 2897b28..46b4286 100644
--- a/include/m_base.h
+++ b/include/m_base.h
@@ -1,4 +1,4 @@
-/*$Id: m_base.h,v 26.127 2009/11/09 16:06:11 al Exp $ -*- C++ -*-
+/*$Id: m_base.h,v 26.138 2013/04/24 02:32:27 al Exp $ -*- C++ -*-
  * Copyright (C) 2003 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -199,7 +199,7 @@ public:
   Base* geq(const String*)const 	{untested();return NULL;}
   Base* not_equal(const String*)const	{untested();return NULL;}
   Base* equal(const String*)const	{untested();return NULL;}
-  Base* add(const String*)const 	{untested();return NULL;}
+  Base* add(const String*)const 	{           return NULL;}
   Base* multiply(const String*)const	{untested();return NULL;}
   Base* subtract(const String*)const	{untested();return NULL;}
   Base* r_subtract(const String*)const	{untested();return NULL;}
@@ -235,7 +235,7 @@ public:
   Base* geq(const String* X)const	{untested();assert(X); return new Float((_data >= X->_data)?1.:0.);}
   Base* not_equal(const String* X)const	{untested();assert(X); return new Float((_data != X->_data)?1.:0.);}
   Base* equal(const String* X)const	{untested();assert(X); return new Float((_data == X->_data)?1.:0.);}
-  Base* add(const String* X)const	{untested();assert(X); return new String(_data + X->_data);}
+  Base* add(const String*)const		{	     return NULL;}
   Base* multiply(const String*)const	{untested(); return NULL;}
   Base* subtract(const String*)const	{untested(); return NULL;}
   Base* r_subtract(const String*)const	{untested(); return NULL;}
@@ -247,8 +247,8 @@ public:
   Base* leq(const Base* X)const		{untested();return ((X) ? (X->geq(this))       : (NULL));}
   Base* geq(const Base* X)const		{untested();return ((X) ? (X->leq(this))       : (NULL));}
   Base* not_equal(const Base* X)const	{untested();return ((X) ? (X->not_equal(this)) : (NULL));}
-  Base* equal(const Base* X)const	{untested();return ((X) ? (X->equal(this))	    : (NULL));}
-  Base* add(const Base* X)const 	{untested();return ((X) ? (X->add(this))       : (NULL));}
+  Base* equal(const Base* X)const	{untested();return ((X) ? (X->equal(this))     : (NULL));}
+  Base* add(const Base* X)const 	{	    return ((X) ? (X->add(this))       : (NULL));}
   Base* multiply(const Base* X)const	{untested();return ((X) ? (X->multiply(this))  : (NULL));}
   Base* subtract(const Base* X)const	{untested();return ((X) ? (X->r_subtract(this)): (NULL));}
   Base* r_subtract(const Base* X)const	{untested();return ((X) ? (X->subtract(this))  : (NULL));}
@@ -261,7 +261,7 @@ public:
   Base* geq(const Float*)const  	{untested();return NULL;}
   Base* not_equal(const Float*)const	{untested();return NULL;}
   Base* equal(const Float*)const	{untested();return NULL;}
-  Base* add(const Float*)const  	{untested();return NULL;}
+  Base* add(const Float*)const  	{           return NULL;}
   Base* multiply(const Float*)const	{untested();return NULL;}
   Base* subtract(const Float*)const	{untested();return NULL;}
   Base* r_subtract(const Float*)const	{untested();return NULL;}
diff --git a/include/m_expression.h b/include/m_expression.h
index 6b3eb4d..ff54fc1 100644
--- a/include/m_expression.h
+++ b/include/m_expression.h
@@ -1,4 +1,4 @@
-/*$Id: m_expression.h,v 26.127 2009/11/09 16:06:11 al Exp $ -*- C++ -*-
+/*$Id: m_expression.h,v 26.138 2013/04/24 02:32:27 al Exp $ -*- C++ -*-
  * Copyright (C) 2003 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -51,7 +51,7 @@ public:
   const std::string& aRgs()const {return _aRgs;}
   const std::string  full_name()const {return name() + aRgs();}
   virtual void	     stack_op(Expression*)const {unreachable();}
-  bool operator==(const Token& P) {untested();return (typeid(*this)==typeid(P))
+  bool operator==(const Token& P) {return (typeid(*this)==typeid(P))
       && (data()==P.data()) && (name()==P.name()) && (aRgs()==P.aRgs());}
 };
 /*--------------------------------------------------------------------------*/
@@ -64,6 +64,7 @@ public:
   Token* clone()const {untested();return new Token_SYMBOL(*this);}
   void stack_op(Expression*)const;
 };
+/*--------------------------------------------------------------------------*/
 class Token_BINOP : public Token
 {
 public:
@@ -74,6 +75,7 @@ public:
   Token* op(const Token* t1, const Token* t2)const;
   void stack_op(Expression*)const;
 };
+/*--------------------------------------------------------------------------*/
 class Token_STOP : public Token
 {
 public:
@@ -83,6 +85,7 @@ public:
   Token* clone()const {return new Token_STOP(*this);}
   void stack_op(Expression*)const;
 };
+/*--------------------------------------------------------------------------*/
 class Token_PARLIST : public Token
 {
 public:
@@ -92,6 +95,7 @@ public:
   Token* clone()const {untested();return new Token_PARLIST(*this);}
   void stack_op(Expression*)const;
 };
+/*--------------------------------------------------------------------------*/
 class Token_UNARY : public Token
 {
 public:
@@ -102,6 +106,7 @@ public:
   Token* op(const Token* t1)const;
   void stack_op(Expression*)const;
 };
+/*--------------------------------------------------------------------------*/
 class Token_CONSTANT : public Token
 {
 public:
diff --git a/include/md.h b/include/md.h
index 2baf70a..1e44335 100644
--- a/include/md.h
+++ b/include/md.h
@@ -1,4 +1,4 @@
-/*$Id: md.h,v 26.112 2009/07/24 00:10:32 al Exp $ -*- C++ -*-
+/*$Id: md.h,v 26.138 2013/04/24 02:32:27 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -45,6 +45,7 @@
 #include <csignal>
 #include <cstring>
 #include <iostream>
+#include <ctime>
 // types
 #include <complex>
 #include <string>
@@ -76,6 +77,7 @@ enum {
 #if defined(__WIN32__)
 #define	ENDDIR		"/\\"
 #define PATHSEP		';'
+#define DEFAULT_PLUGINS "libgnucap-default-plugins.dll"
 #define SYSTEMSTARTFILE	"gnucap.rc"
 #define SYSTEMSTARTPATH	OS::getenv("PATH")
 #define USERSTARTFILE	"gnucap.rc"
@@ -86,6 +88,7 @@ enum {
 #else
 #define	ENDDIR		"/"
 #define PATHSEP		':'
+#define DEFAULT_PLUGINS "libgnucap-default-plugins.so"
 #define SYSTEMSTARTFILE	"gnucap.rc"
 #define SYSTEMSTARTPATH	OS::getenv("PATH")
 #define USERSTARTFILE	".gnucaprc"
diff --git a/include/patchlev.h b/include/patchlev.h
index b0bcf93..1d945dc 100644
--- a/include/patchlev.h
+++ b/include/patchlev.h
@@ -1 +1 @@
-#define PATCHLEVEL "2009.12.07 RCS 26.136"
+#define PATCHLEVEL "2013.04.23 RCS 26.138"
diff --git a/include/s__.h b/include/s__.h
index 9547eec..a66e420 100644
--- a/include/s__.h
+++ b/include/s__.h
@@ -1,4 +1,4 @@
-/*$Id: s__.h,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: s__.h,v 26.138 2013/04/24 02:32:27 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -83,9 +83,9 @@ public:
 protected:				/* s__solve.cc */
   bool	solve(OPT::ITL,TRACE);
   bool	solve_with_homotopy(OPT::ITL,TRACE);
-protected:
+  void	advance_time();
+private:
 	void	finish_building_evalq();
-	void	advance_time();
 	void	set_flags();
 	void	clear_arrays();
 	void	evaluate_models();
diff --git a/include/spice-wrapper.cc b/include/spice-wrapper.cc
index 2f5a5c2..bd5b37f 100644
--- a/include/spice-wrapper.cc
+++ b/include/spice-wrapper.cc
@@ -1,4 +1,4 @@
-/* $Id: spice-wrapper.cc,v 26.136 2009/12/07 23:20:42 al Exp $ -*- C++ -*-
+/* $Id: spice-wrapper.cc,v 26.138 2013/04/24 02:32:27 al Exp $ -*- C++ -*-
  * Copyright (C) 2007 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -59,7 +59,7 @@ extern "C" {
 }
 /*--------------------------------------------------------------------------*/
 // gnucap includes
-//#include "globals.h"
+#include "globals.h"
 #include "u_xprobe.h"
 #include "d_subckt.h"
 #include "e_storag.h"
@@ -585,7 +585,7 @@ void MODEL_SPICE::Set_param_by_name(std::string Name, std::string new_value)
     }else{
     }
   }
-  if (Name != "level") {
+  if (Name != "level") {untested();
     throw Exception_No_Match(Name);
   }else{
   }
@@ -1522,7 +1522,7 @@ void DEV_SPICE::ac_load()
 	ihit[ni] = 1;
 	int nii = ni-OFFSET;
 	trace3("", ii, ni, nii);
-	ac_load_source_point(_n[ii], COMPLEX(_i0[node[ni]], _i1[node[ni]]));
+	ac_load_source_point(_n[ii], COMPLEX(_i0[ni], _i1[ni]));
 	for (int jj = 0; jj < matrix_nodes(); ++jj) {
 	  int nj = node[jj];
 	  if (nj && jhit[nj] != ni) {
diff --git a/include/trace_off.h b/include/trace_off.h
new file mode 100644
index 0000000..db2ddfe
--- /dev/null
+++ b/include/trace_off.h
@@ -0,0 +1,2 @@
+#undef DO_TRACE
+#include "io_trace.h"
diff --git a/include/trace_on.h b/include/trace_on.h
new file mode 100644
index 0000000..1c1029e
--- /dev/null
+++ b/include/trace_on.h
@@ -0,0 +1,2 @@
+#define DO_TRACE
+#include "io_trace.h"
diff --git a/include/u_lang.h b/include/u_lang.h
index 8333592..ee4e306 100644
--- a/include/u_lang.h
+++ b/include/u_lang.h
@@ -1,4 +1,4 @@
-/*$Id: u_lang.h,v 26.109 2009/02/02 06:39:10 al Exp $ -*- C++ -*-
+/*$Id: u_lang.h,v 26.138 2013/04/24 02:32:27 al Exp $ -*- C++ -*-
  * Copyright (C) 2006 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -38,7 +38,7 @@ public:
   void new__instance(CS& cmd, MODEL_SUBCKT* owner, CARD_LIST* Scope);
 
 public:
-  virtual ~LANGUAGE() {}
+  virtual ~LANGUAGE();
   virtual std::string name()const = 0;
   virtual bool case_insensitive()const = 0;
   virtual UNITS units()const = 0;
diff --git a/include/u_parameter.h b/include/u_parameter.h
index 10fdcd2..c29f21b 100644
--- a/include/u_parameter.h
+++ b/include/u_parameter.h
@@ -1,4 +1,4 @@
-/*$Id: u_parameter.h,v 26.125 2009/10/15 20:58:21 al Exp $ -*- C++ -*-
+/*$Id: u_parameter.h,v 26.138 2013/04/24 02:32:27 al Exp $ -*- C++ -*-
  * Copyright (C) 2005 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -23,12 +23,12 @@
  * Used for spice compatible .param statements
  * and passing arguments to models and subcircuits
  */
-//testing=script 2006.07.14
+//testing=script 2010.02.07
 #ifndef U_PARAMETER_H
 #define U_PARAMETER_H
-#include "globals.h"
-#include "m_expression.h"
 #include "u_opt.h"
+#include "io_.h"
+#include "m_expression.h"
 #include "e_cardlist.h"
 /*--------------------------------------------------------------------------*/
 class LANGUAGE;
@@ -40,7 +40,7 @@ private:
   std::string _s;
 public:
   explicit PARAMETER() :_v(NOT_INPUT), _s() {}
-  PARAMETER(const PARAMETER<double>& p) :_v(p._v), _s(p._s) {}
+  PARAMETER(const PARAMETER<T>& p) :_v(p._v), _s(p._s) {}
   explicit PARAMETER(T v) :_v(v), _s() {}
   //explicit PARAMETER(T v, const std::string& s) :_v(v), _s(s) {untested();}
   ~PARAMETER() {}
@@ -192,7 +192,6 @@ void e_val(T* p, const T& def, const CARD_LIST*)
 class INTERFACE PARAM_LIST {
 private:
   mutable std::map<const std::string, PARAMETER<double> > _pl;
-public:
   PARAM_LIST* _try_again; // if you don't find it, also look here
 public:
   typedef std::map<const std::string, PARAMETER<double> >::const_iterator
@@ -200,15 +199,14 @@ public:
   typedef std::map<const std::string, PARAMETER<double> >::iterator
 		iterator;
   explicit PARAM_LIST() :_try_again(NULL) {}
-  explicit PARAM_LIST(const PARAM_LIST& p) 
-				:_pl(p._pl), _try_again(p._try_again) {}
+  explicit PARAM_LIST(const PARAM_LIST& p) :_pl(p._pl), _try_again(p._try_again) {}
   //explicit PARAM_LIST(PARAM_LIST* ta) :_try_again(ta) {untested();}
   ~PARAM_LIST() {}
   void	parse(CS& cmd);
   void	print(OMSTREAM&, LANGUAGE*)const;
   
   size_t size()const {return _pl.size();}
-  bool	 is_empty()const {return _pl.empty();}
+  //bool is_empty()const {untested();return _pl.empty();}
   bool	 is_printable(int)const;
   std::string name(int)const;
   std::string value(int)const;
@@ -252,7 +250,7 @@ inline T PARAMETER<T>::lookup_solve(const T& def, const CARD_LIST* scope)const
 #if 0
 template <class T>
 inline T PARAMETER<T>::lookup_solve(const T& def, const CARD_LIST* scope)const
-{
+{untested();
   const PARAM_LIST* pl = scope->params();
   return T(pl->deep_lookup(_s).e_val(def, scope));
 }
@@ -276,15 +274,18 @@ T PARAMETER<T>::e_val(const T& def, const CARD_LIST* scope)const
     // blank string means to use default value
     _v = def;
     if (recursion > 1) {
-      error(bWARNING, "parameter " + *first_name + " has no value\n");
+      error(bWARNING, "parameter " + *first_name + " not specified, using default\n");
+      //BUG// needs to show scope
     }else{
     }
   }else if (_s != "#") {
     // anything else means look up the value
     if (recursion <= OPT::recursion) {
       _v = lookup_solve(def, scope);
-      if (_v == NOT_INPUT) {untested();itested();
-	error(bDANGER, "parameter " + *first_name + " has no value\n");
+      if (_v == NOT_INPUT) {itested();
+	error(bDANGER, "parameter " + *first_name + " value is \"NOT_INPUT\"\n");
+	//BUG// needs to show scope
+	//BUG// it is likely to have a numeric overflow resulting from the bad value
       }else{
       }
     }else{untested();
@@ -344,7 +345,7 @@ inline void PARAMETER<T>::parse(CS& cmd)
       }else{
 	_s = name;
       }
-      if (name == "NA") {
+      if (name == "NA") {untested();
         _s = "";
       }else{
       }
diff --git a/include/u_prblst.h b/include/u_prblst.h
index b196928..a9388a0 100644
--- a/include/u_prblst.h
+++ b/include/u_prblst.h
@@ -1,4 +1,4 @@
-/*$Id: u_prblst.h,v 26.136 2009/12/07 23:20:42 al Exp $ -*- C++ -*-
+/*$Id: u_prblst.h,v 26.138 2013/04/24 02:32:27 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -52,10 +52,6 @@ public:
   const_iterator end()const	{return bag.end();}
   iterator begin()		{return bag.begin();}
   iterator end()		{return bag.end();}
-
-  virtual void	open(CS&)	{incomplete();}
-  virtual void	send(OMSTREAM, double)	{incomplete();}
-  virtual void	close()		{incomplete();}
 private:
   void	  erase(iterator b, iterator e) {bag.erase(b,e);}
   void	  push_new_probe(const std::string& param, const CKT_BASE* object);
@@ -65,11 +61,11 @@ private:
 /*--------------------------------------------------------------------------*/
 class INTERFACE PROBE_LISTS {
 public:
- static PROBELIST alarm[sCOUNT]; // list of alarm probes
- static PROBELIST plot[sCOUNT];  // list of plot probes
- static PROBELIST print[sCOUNT]; // list of print probes
- static PROBELIST store[sCOUNT]; // list of probes to store for postproc
- static void purge(CKT_BASE*);
+  PROBELIST alarm[sCOUNT]; // list of alarm probes
+  PROBELIST plot[sCOUNT];  // list of plot probes
+  PROBELIST print[sCOUNT]; // list of print probes
+  PROBELIST store[sCOUNT]; // list of probes to store for postproc
+  void purge(CKT_BASE*);
 };
 /*--------------------------------------------------------------------------*/
 /*--------------------------------------------------------------------------*/
diff --git a/include/u_sim_data.h b/include/u_sim_data.h
index 5244c26..6154054 100644
--- a/include/u_sim_data.h
+++ b/include/u_sim_data.h
@@ -1,4 +1,4 @@
-/*$Id: u_sim_data.h,v 26.133 2009/11/26 05:02:28 al Exp $ -*- C++ -*-
+/*$Id: u_sim_data.h,v 26.138 2013/04/24 02:32:27 al Exp $ -*- C++ -*-
  * Copyright (C) 2009 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -80,10 +80,8 @@ struct INTERFACE SIM_DATA {
   std::deque<CARD*>* _evalq;   /* pointer to evalq to process */
   std::deque<CARD*>* _evalq_uc;/* pointer to evalq under construction */
   WAVE *_waves;		/* storage for waveforms "store" command*/
-  SIM_DATA() {
-    _evalq = &_evalq1;
-    _evalq_uc = &_evalq2;
-  }
+  SIM_DATA();
+  ~SIM_DATA();
   bool is_first_expand() {return !_nstat;}
   void alloc_hold_vectors(); /* s__init.cc */
   void alloc_vectors();
diff --git a/install-sh b/install-sh
deleted file mode 100755
index 4d4a951..0000000
--- a/install-sh
+++ /dev/null
@@ -1,323 +0,0 @@
-#!/bin/sh
-# install - install a program, script, or datafile
-
-scriptversion=2005-05-14.22
-
-# This originates from X11R5 (mit/util/scripts/install.sh), which was
-# later released in X11R6 (xc/config/util/install.sh) with the
-# following copyright and license.
-#
-# Copyright (C) 1994 X Consortium
-#
-# Permission is hereby granted, free of charge, to any person obtaining a copy
-# of this software and associated documentation files (the "Software"), to
-# deal in the Software without restriction, including without limitation the
-# rights to use, copy, modify, merge, publish, distribute, sublicense, and/or
-# sell copies of the Software, and to permit persons to whom the Software is
-# furnished to do so, subject to the following conditions:
-#
-# The above copyright notice and this permission notice shall be included in
-# all copies or substantial portions of the Software.
-#
-# THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-# IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-# FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT.  IN NO EVENT SHALL THE
-# X CONSORTIUM BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN
-# AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNEC-
-# TION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
-#
-# Except as contained in this notice, the name of the X Consortium shall not
-# be used in advertising or otherwise to promote the sale, use or other deal-
-# ings in this Software without prior written authorization from the X Consor-
-# tium.
-#
-#
-# FSF changes to this file are in the public domain.
-#
-# Calling this script install-sh is preferred over install.sh, to prevent
-# `make' implicit rules from creating a file called install from it
-# when there is no Makefile.
-#
-# This script is compatible with the BSD install script, but was written
-# from scratch.  It can only install one file at a time, a restriction
-# shared with many OS's install programs.
-
-# set DOITPROG to echo to test this script
-
-# Don't use :- since 4.3BSD and earlier shells don't like it.
-doit="${DOITPROG-}"
-
-# put in absolute paths if you don't have them in your path; or use env. vars.
-
-mvprog="${MVPROG-mv}"
-cpprog="${CPPROG-cp}"
-chmodprog="${CHMODPROG-chmod}"
-chownprog="${CHOWNPROG-chown}"
-chgrpprog="${CHGRPPROG-chgrp}"
-stripprog="${STRIPPROG-strip}"
-rmprog="${RMPROG-rm}"
-mkdirprog="${MKDIRPROG-mkdir}"
-
-chmodcmd="$chmodprog 0755"
-chowncmd=
-chgrpcmd=
-stripcmd=
-rmcmd="$rmprog -f"
-mvcmd="$mvprog"
-src=
-dst=
-dir_arg=
-dstarg=
-no_target_directory=
-
-usage="Usage: $0 [OPTION]... [-T] SRCFILE DSTFILE
-   or: $0 [OPTION]... SRCFILES... DIRECTORY
-   or: $0 [OPTION]... -t DIRECTORY SRCFILES...
-   or: $0 [OPTION]... -d DIRECTORIES...
-
-In the 1st form, copy SRCFILE to DSTFILE.
-In the 2nd and 3rd, copy all SRCFILES to DIRECTORY.
-In the 4th, create DIRECTORIES.
-
-Options:
--c         (ignored)
--d         create directories instead of installing files.
--g GROUP   $chgrpprog installed files to GROUP.
--m MODE    $chmodprog installed files to MODE.
--o USER    $chownprog installed files to USER.
--s         $stripprog installed files.
--t DIRECTORY  install into DIRECTORY.
--T         report an error if DSTFILE is a directory.
---help     display this help and exit.
---version  display version info and exit.
-
-Environment variables override the default commands:
-  CHGRPPROG CHMODPROG CHOWNPROG CPPROG MKDIRPROG MVPROG RMPROG STRIPPROG
-"
-
-while test -n "$1"; do
-  case $1 in
-    -c) shift
-        continue;;
-
-    -d) dir_arg=true
-        shift
-        continue;;
-
-    -g) chgrpcmd="$chgrpprog $2"
-        shift
-        shift
-        continue;;
-
-    --help) echo "$usage"; exit $?;;
-
-    -m) chmodcmd="$chmodprog $2"
-        shift
-        shift
-        continue;;
-
-    -o) chowncmd="$chownprog $2"
-        shift
-        shift
-        continue;;
-
-    -s) stripcmd=$stripprog
-        shift
-        continue;;
-
-    -t) dstarg=$2
-	shift
-	shift
-	continue;;
-
-    -T) no_target_directory=true
-	shift
-	continue;;
-
-    --version) echo "$0 $scriptversion"; exit $?;;
-
-    *)  # When -d is used, all remaining arguments are directories to create.
-	# When -t is used, the destination is already specified.
-	test -n "$dir_arg$dstarg" && break
-        # Otherwise, the last argument is the destination.  Remove it from $@.
-	for arg
-	do
-          if test -n "$dstarg"; then
-	    # $@ is not empty: it contains at least $arg.
-	    set fnord "$@" "$dstarg"
-	    shift # fnord
-	  fi
-	  shift # arg
-	  dstarg=$arg
-	done
-	break;;
-  esac
-done
-
-if test -z "$1"; then
-  if test -z "$dir_arg"; then
-    echo "$0: no input file specified." >&2
-    exit 1
-  fi
-  # It's OK to call `install-sh -d' without argument.
-  # This can happen when creating conditional directories.
-  exit 0
-fi
-
-for src
-do
-  # Protect names starting with `-'.
-  case $src in
-    -*) src=./$src ;;
-  esac
-
-  if test -n "$dir_arg"; then
-    dst=$src
-    src=
-
-    if test -d "$dst"; then
-      mkdircmd=:
-      chmodcmd=
-    else
-      mkdircmd=$mkdirprog
-    fi
-  else
-    # Waiting for this to be detected by the "$cpprog $src $dsttmp" command
-    # might cause directories to be created, which would be especially bad
-    # if $src (and thus $dsttmp) contains '*'.
-    if test ! -f "$src" && test ! -d "$src"; then
-      echo "$0: $src does not exist." >&2
-      exit 1
-    fi
-
-    if test -z "$dstarg"; then
-      echo "$0: no destination specified." >&2
-      exit 1
-    fi
-
-    dst=$dstarg
-    # Protect names starting with `-'.
-    case $dst in
-      -*) dst=./$dst ;;
-    esac
-
-    # If destination is a directory, append the input filename; won't work
-    # if double slashes aren't ignored.
-    if test -d "$dst"; then
-      if test -n "$no_target_directory"; then
-	echo "$0: $dstarg: Is a directory" >&2
-	exit 1
-      fi
-      dst=$dst/`basename "$src"`
-    fi
-  fi
-
-  # This sed command emulates the dirname command.
-  dstdir=`echo "$dst" | sed -e 's,/*$,,;s,[^/]*$,,;s,/*$,,;s,^$,.,'`
-
-  # Make sure that the destination directory exists.
-
-  # Skip lots of stat calls in the usual case.
-  if test ! -d "$dstdir"; then
-    defaultIFS='
-	 '
-    IFS="${IFS-$defaultIFS}"
-
-    oIFS=$IFS
-    # Some sh's can't handle IFS=/ for some reason.
-    IFS='%'
-    set x `echo "$dstdir" | sed -e 's@/@%@g' -e 's@^%@/@'`
-    shift
-    IFS=$oIFS
-
-    pathcomp=
-
-    while test $# -ne 0 ; do
-      pathcomp=$pathcomp$1
-      shift
-      if test ! -d "$pathcomp"; then
-        $mkdirprog "$pathcomp"
-	# mkdir can fail with a `File exist' error in case several
-	# install-sh are creating the directory concurrently.  This
-	# is OK.
-	test -d "$pathcomp" || exit
-      fi
-      pathcomp=$pathcomp/
-    done
-  fi
-
-  if test -n "$dir_arg"; then
-    $doit $mkdircmd "$dst" \
-      && { test -z "$chowncmd" || $doit $chowncmd "$dst"; } \
-      && { test -z "$chgrpcmd" || $doit $chgrpcmd "$dst"; } \
-      && { test -z "$stripcmd" || $doit $stripcmd "$dst"; } \
-      && { test -z "$chmodcmd" || $doit $chmodcmd "$dst"; }
-
-  else
-    dstfile=`basename "$dst"`
-
-    # Make a couple of temp file names in the proper directory.
-    dsttmp=$dstdir/_inst.$$_
-    rmtmp=$dstdir/_rm.$$_
-
-    # Trap to clean up those temp files at exit.
-    trap 'ret=$?; rm -f "$dsttmp" "$rmtmp" && exit $ret' 0
-    trap '(exit $?); exit' 1 2 13 15
-
-    # Copy the file name to the temp name.
-    $doit $cpprog "$src" "$dsttmp" &&
-
-    # and set any options; do chmod last to preserve setuid bits.
-    #
-    # If any of these fail, we abort the whole thing.  If we want to
-    # ignore errors from any of these, just make sure not to ignore
-    # errors from the above "$doit $cpprog $src $dsttmp" command.
-    #
-    { test -z "$chowncmd" || $doit $chowncmd "$dsttmp"; } \
-      && { test -z "$chgrpcmd" || $doit $chgrpcmd "$dsttmp"; } \
-      && { test -z "$stripcmd" || $doit $stripcmd "$dsttmp"; } \
-      && { test -z "$chmodcmd" || $doit $chmodcmd "$dsttmp"; } &&
-
-    # Now rename the file to the real destination.
-    { $doit $mvcmd -f "$dsttmp" "$dstdir/$dstfile" 2>/dev/null \
-      || {
-	   # The rename failed, perhaps because mv can't rename something else
-	   # to itself, or perhaps because mv is so ancient that it does not
-	   # support -f.
-
-	   # Now remove or move aside any old file at destination location.
-	   # We try this two ways since rm can't unlink itself on some
-	   # systems and the destination file might be busy for other
-	   # reasons.  In this case, the final cleanup might fail but the new
-	   # file should still install successfully.
-	   {
-	     if test -f "$dstdir/$dstfile"; then
-	       $doit $rmcmd -f "$dstdir/$dstfile" 2>/dev/null \
-	       || $doit $mvcmd -f "$dstdir/$dstfile" "$rmtmp" 2>/dev/null \
-	       || {
-		 echo "$0: cannot unlink or rename $dstdir/$dstfile" >&2
-		 (exit 1); exit 1
-	       }
-	     else
-	       :
-	     fi
-	   } &&
-
-	   # Now rename the file to the real destination.
-	   $doit $mvcmd "$dsttmp" "$dstdir/$dstfile"
-	 }
-    }
-  fi || { (exit 1); exit 1; }
-done
-
-# The final little trick to "correctly" pass the exit status to the exit trap.
-{
-  (exit 0); exit 0
-}
-
-# Local variables:
-# eval: (add-hook 'write-file-hooks 'time-stamp)
-# time-stamp-start: "scriptversion="
-# time-stamp-format: "%:y-%02m-%02d.%02H"
-# time-stamp-end: "$"
-# End:
diff --git a/lib/Make.depend b/lib/Make.depend
new file mode 100644
index 0000000..c7ed578
--- /dev/null
+++ b/lib/Make.depend
@@ -0,0 +1,300 @@
+m_expression_dump.o: m_expression_dump.cc ../include/m_expression.h \
+ ../include/m_base.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/ap.h \
+ ../include/constant.h
+m_expression_in.o: m_expression_in.cc ../include/m_expression.h \
+ ../include/m_base.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/ap.h \
+ ../include/constant.h
+m_expression_reduce.o: m_expression_reduce.cc ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/u_function.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/constant.h \
+ ../include/e_cardlist.h
+m_base_in.o: m_base_in.cc ../include/m_base.h ../include/l_lib.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/ap.h ../include/constant.h
+m_base_math.o: m_base_math.cc ../include/m_base.h ../include/l_lib.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/ap.h ../include/constant.h
+m_fft.o: m_fft.cc ../include/constant.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/declare.h
+m_spline.o: m_spline.cc ../include/m_cpoly.h ../include/constant.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/l_denoise.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/m_spline.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/ap.h ../include/e_cardlist.h
+ap_construct.o: ap_construct.cc ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/ap.h
+ap_convert.o: ap_convert.cc ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/ap.h
+ap_error.o: ap_error.cc ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/ap.h
+ap_get.o: ap_get.cc ../include/ap.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h
+ap_match.o: ap_match.cc ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/ap.h
+ap_skip.o: ap_skip.cc ../include/ap.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h
+l_ftos.o: l_ftos.cc ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/constant.h
+l_pmatch.o: l_pmatch.cc ../include/ap.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/l_lib.h
+l_timer.o: l_timer.cc ../include/l_timer.h ../include/io_.h \
+ ../include/l_lib.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h
+l_trim.o: l_trim.cc
+l_wmatch.o: l_wmatch.cc ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/l_lib.h
+io.o: io.cc ../include/io_.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h
+io_contr.o: io_contr.cc ../include/io_.h ../include/l_lib.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/ap.h
+io_error.o: io_error.cc ../include/ap.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h
+io_findf.o: io_findf.cc ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h
+io_out.o: io_out.cc ../include/u_opt.h ../include/mode.h ../include/io_.h \
+ ../include/l_lib.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h
+io_xopen.o: io_xopen.cc ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/constant.h \
+ ../include/ap.h
+u_function.o: u_function.cc ../include/e_base.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_function.h
+u_lang.o: u_lang.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/c_comand.h ../include/e_card.h ../include/e_base.h \
+ ../include/d_dot.h ../include/d_coment.h ../include/d_subckt.h \
+ ../include/e_node.h ../include/u_sim_data.h ../include/constant.h \
+ ../include/l_compar.h ../include/m_matrix.h ../include/e_subckt.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_model.h ../include/u_lang.h
+u_nodemap.o: u_nodemap.cc ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/l_compar.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/m_matrix.h ../include/l_stlextra.h ../include/e_base.h \
+ ../include/u_nodemap.h
+u_opt1.o: u_opt1.cc ../include/u_opt.h ../include/mode.h ../include/io_.h \
+ ../include/l_lib.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h
+u_opt2.o: u_opt2.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/c_comand.h ../include/e_card.h ../include/e_base.h \
+ ../include/u_lang.h ../include/l_compar.h ../include/ap.h
+u_parameter.o: u_parameter.cc ../include/l_stlextra.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/u_parameter.h \
+ ../include/u_opt.h ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/m_expression.h ../include/m_base.h ../include/ap.h \
+ ../include/constant.h ../include/e_cardlist.h ../include/u_lang.h
+u_prblst.o: u_prblst.cc ../include/e_cardlist.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/e_node.h \
+ ../include/u_sim_data.h ../include/constant.h ../include/l_compar.h \
+ ../include/u_opt.h ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/m_matrix.h ../include/l_stlextra.h ../include/e_base.h \
+ ../include/e_card.h ../include/u_nodemap.h ../include/ap.h \
+ ../include/u_prblst.h ../include/u_probe.h
+u_probe.o: u_probe.cc ../include/u_sim_data.h ../include/constant.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/l_compar.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/m_matrix.h \
+ ../include/l_stlextra.h ../include/u_status.h ../include/l_timer.h \
+ ../include/e_base.h ../include/u_probe.h
+u_sim_data.o: u_sim_data.cc ../include/m_wave.h ../include/l_denoise.h \
+ ../include/u_opt.h ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/m_interp.h ../include/m_cpoly.h ../include/constant.h \
+ ../include/e_node.h ../include/u_sim_data.h ../include/l_compar.h \
+ ../include/m_matrix.h ../include/l_stlextra.h ../include/e_base.h \
+ ../include/u_nodemap.h ../include/e_cardlist.h ../include/u_status.h \
+ ../include/l_timer.h
+u_xprobe.o: u_xprobe.cc ../include/m_phase.h ../include/constant.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/u_opt.h ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/u_xprobe.h
+d_subckt.o: d_subckt.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/d_subckt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/e_subckt.h ../include/e_compon.h \
+ ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h
+d_logic.o: d_logic.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/d_subckt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/e_subckt.h ../include/e_compon.h \
+ ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/u_xprobe.h ../include/d_logic.h \
+ ../include/e_model.h ../include/e_elemnt.h ../include/m_cpoly.h \
+ ../include/l_denoise.h
+d_logicmod.o: d_logicmod.cc ../include/d_logic.h ../include/e_model.h \
+ ../include/u_parameter.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/m_expression.h \
+ ../include/m_base.h ../include/ap.h ../include/constant.h \
+ ../include/e_cardlist.h ../include/e_card.h ../include/e_base.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/l_compar.h ../include/m_matrix.h ../include/l_stlextra.h \
+ ../include/m_cpoly.h ../include/l_denoise.h ../include/e_compon.h \
+ ../include/u_time_pair.h
+e_base.o: e_base.cc ../include/u_sim_data.h ../include/constant.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/l_compar.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/m_matrix.h \
+ ../include/l_stlextra.h ../include/m_wave.h ../include/l_denoise.h \
+ ../include/m_interp.h ../include/m_cpoly.h ../include/u_prblst.h \
+ ../include/u_probe.h ../include/u_xprobe.h ../include/e_base.h
+e_card.o: e_card.cc ../include/u_time_pair.h ../include/constant.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/e_cardlist.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/l_compar.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/m_matrix.h \
+ ../include/l_stlextra.h ../include/e_base.h ../include/e_card.h
+e_node.o: e_node.cc ../include/u_nodemap.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/d_logic.h \
+ ../include/e_model.h ../include/u_parameter.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/m_expression.h ../include/m_base.h ../include/ap.h \
+ ../include/constant.h ../include/e_cardlist.h ../include/e_card.h \
+ ../include/e_base.h ../include/e_elemnt.h ../include/e_node.h \
+ ../include/u_sim_data.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/l_stlextra.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/e_aux.h \
+ ../include/u_xprobe.h
+e_model.o: e_model.cc ../include/e_compon.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/l_compar.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/m_matrix.h ../include/l_stlextra.h ../include/u_time_pair.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/ap.h ../include/e_cardlist.h ../include/e_card.h \
+ ../include/e_base.h ../include/e_model.h
+e_compon.o: e_compon.cc ../include/u_lang.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/e_model.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/ap.h ../include/constant.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/e_base.h ../include/e_elemnt.h \
+ ../include/e_node.h ../include/u_sim_data.h ../include/l_compar.h \
+ ../include/m_matrix.h ../include/l_stlextra.h ../include/m_cpoly.h \
+ ../include/l_denoise.h ../include/e_compon.h ../include/u_time_pair.h
+e_elemnt.o: e_elemnt.cc ../include/m_divdiff.h ../include/u_xprobe.h \
+ ../include/constant.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/e_aux.h ../include/e_node.h \
+ ../include/u_sim_data.h ../include/l_compar.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/m_matrix.h ../include/l_stlextra.h ../include/e_base.h \
+ ../include/e_elemnt.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/ap.h \
+ ../include/e_cardlist.h ../include/e_card.h
+e_ccsrc.o: e_ccsrc.cc ../include/e_ccsrc.h ../include/e_elemnt.h \
+ ../include/e_node.h ../include/u_sim_data.h ../include/constant.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/l_compar.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/m_matrix.h \
+ ../include/l_stlextra.h ../include/e_base.h ../include/m_cpoly.h \
+ ../include/l_denoise.h ../include/e_compon.h ../include/u_time_pair.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/ap.h ../include/e_cardlist.h ../include/e_card.h
+e_storag.o: e_storag.cc ../include/e_storag.h ../include/e_elemnt.h \
+ ../include/e_node.h ../include/u_sim_data.h ../include/constant.h \
+ ../include/md.h ../include/io_trace.h ../include/io_error.h \
+ ../include/l_compar.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/m_matrix.h \
+ ../include/l_stlextra.h ../include/e_base.h ../include/m_cpoly.h \
+ ../include/l_denoise.h ../include/e_compon.h ../include/u_time_pair.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/ap.h ../include/e_cardlist.h ../include/e_card.h
+e_cardlist.o: e_cardlist.cc ../include/u_time_pair.h \
+ ../include/constant.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/l_compar.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/m_matrix.h \
+ ../include/l_stlextra.h ../include/e_base.h ../include/u_nodemap.h \
+ ../include/e_model.h ../include/u_parameter.h ../include/m_expression.h \
+ ../include/m_base.h ../include/ap.h ../include/e_cardlist.h \
+ ../include/e_card.h
+bm_cond.o: bm_cond.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/bm.h
+bm_value.o: bm_value.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h ../include/bm.h \
+ ../include/e_compon.h ../include/u_sim_data.h ../include/constant.h \
+ ../include/l_compar.h ../include/m_matrix.h ../include/u_time_pair.h \
+ ../include/u_parameter.h ../include/m_expression.h ../include/m_base.h \
+ ../include/e_cardlist.h ../include/e_card.h ../include/e_base.h
+bm.o: bm.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h ../include/u_lang.h \
+ ../include/e_elemnt.h ../include/e_node.h ../include/u_sim_data.h \
+ ../include/constant.h ../include/l_compar.h ../include/m_matrix.h \
+ ../include/e_base.h ../include/m_cpoly.h ../include/l_denoise.h \
+ ../include/e_compon.h ../include/u_time_pair.h ../include/u_parameter.h \
+ ../include/m_expression.h ../include/m_base.h ../include/e_cardlist.h \
+ ../include/e_card.h ../include/bm.h
+c__cmd.o: c__cmd.cc ../include/u_status.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/l_timer.h \
+ ../include/declare.h ../include/c_comand.h ../include/e_card.h \
+ ../include/e_base.h ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/u_opt.h ../include/ap.h
+c_attach.o: c_attach.cc ../include/e_cardlist.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/c_comand.h \
+ ../include/e_card.h ../include/e_base.h ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/ap.h
+c_file.o: c_file.cc ../include/u_lang.h ../include/u_opt.h \
+ ../include/mode.h ../include/io_.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/c_comand.h \
+ ../include/e_card.h ../include/e_base.h ../include/globals.h \
+ ../include/l_dispatcher.h ../include/l_stlextra.h ../include/ap.h
+findbr.o: findbr.cc ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/constant.h \
+ ../include/e_cardlist.h ../include/ap.h ../include/e_card.h \
+ ../include/e_base.h
+plot.o: plot.cc ../include/declare.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/constant.h \
+ ../include/u_opt.h ../include/mode.h ../include/io_.h ../include/l_lib.h \
+ ../include/u_prblst.h ../include/u_probe.h ../include/l_compar.h
+globals.o: globals.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/u_prblst.h ../include/u_probe.h ../include/l_compar.h \
+ ../include/e_cardlist.h ../include/u_status.h ../include/l_timer.h \
+ ../include/patchlev.h
diff --git a/modelgen/Make1 b/lib/Make1
similarity index 72%
copy from modelgen/Make1
copy to lib/Make1
index 0f66e9a..2a4be52 100644
--- a/modelgen/Make1
+++ b/lib/Make1
@@ -1,5 +1,4 @@
-#$Id: Make1,v 26.81 2008/05/27 05:33:43 al Exp $ -*- Makefile -*-
-#
+#$Id: Make1,v 26.138 2013/04/24 03:03:11 al Exp $ -*- Makefile -*-
 # Copyright (C) 2001 Albert Davis
 # Author: Albert Davis <aldavis at gnu.org>
 #
@@ -20,31 +19,37 @@
 # Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
 # 02110-1301, USA.
 #------------------------------------------------------------------------
-TARGET = gnucap-modelgen
-#------------------------------------------------------------------------
-DELETED =
+TARGET = libgnucap
 #------------------------------------------------------------------------
+INSTALL_FILES = $(TARGET)$(TARGET_EXT)
 #------------------------------------------------------------------------
-RAW_SRCS = \
-mg_main.cc mg_error.cc mg_in.cc mg_out_h.cc mg_out_dump.cc \
-mg_out_common.cc mg_out_dev.cc mg_out_lib.cc mg_out_model.cc mg_out_root.cc
+INSTALL_DIR = $(PREFIX)/lib
 #------------------------------------------------------------------------
-RAW_HDRS = mg_.h mg_out.h declare.h
+DELETED =
 #------------------------------------------------------------------------
-RAW_OTHER = \
-configure.old Make1 \
-Makefile.am
+RAW_SRCS = \
+m_expression_dump.cc m_expression_in.cc m_expression_reduce.cc \
+m_base_in.cc m_base_math.cc m_fft.cc  m_spline.cc \
+ap_construct.cc ap_convert.cc ap_error.cc ap_get.cc ap_match.cc ap_skip.cc \
+l_ftos.cc l_pmatch.cc l_timer.cc l_trim.cc l_wmatch.cc \
+io.cc io_contr.cc io_error.cc io_findf.cc io_out.cc io_xopen.cc \
+u_function.cc u_lang.cc u_nodemap.cc u_opt1.cc u_opt2.cc \
+u_parameter.cc u_prblst.cc u_probe.cc u_sim_data.cc u_xprobe.cc \
+d_subckt.cc d_logic.cc d_logicmod.cc \
+e_base.cc e_card.cc e_node.cc e_model.cc e_compon.cc \
+e_elemnt.cc e_ccsrc.cc e_storag.cc e_cardlist.cc \
+bm_cond.cc bm_value.cc bm.cc \
+c__cmd.cc c_attach.cc c_file.cc \
+findbr.cc plot.cc globals.cc
+#------------------------------------------------------------------------
+RAW_OTHER = Make1 Make2.g++ Make2.Debug Make2.mingw32 configure test_readline.cc
 #------------------------------------------------------------------------
 RAW = $(RAW_HDRS) $(RAW_SRCS) $(RAW_OTHER)
 #------------------------------------------------------------------------
 #------------------------------------------------------------------------
-IMPORTED_SRCS = \
-ap_construct.cc ap_convert.cc ap_get.cc ap_match.cc ap_skip.cc \
-l_trim.cc u_opt1.cc io_out.cc io.cc
-IMPORTED_HDRS = \
-ap.h io_.h io_error.h io_trace.h l_lib.h md.h patchlev.h u_opt.h mode.h
-IMPORTED_OTHER = Makefile.in \
-Make2.g++ Make2.Debug Make2.mingw32 Make3 Makefile.template
+IMPORTED_SRCS =
+IMPORTED_HDRS =
+IMPORTED_OTHER =
 IMPORTED = $(IMPORTED_SRCS) $(IMPORTED_HDRS) $(IMPORTED_OTHER)
 #------------------------------------------------------------------------
 #------------------------------------------------------------------------
@@ -59,10 +64,10 @@ IMPORTED_DIST = $(IMPORTED)
 DISTFILES = $(RAW) $(GENERATED_DIST) $(IMPORTED_DIST)
 #------------------------------------------------------------------------
 #------------------------------------------------------------------------
-SRCS = $(RAW_SRCS) $(GENERATED_SRCS) $(IMPORTED_SRCS)
+SRCS = $(IMPORTED_SRCS) $(GENERATED_SRCS) $(RAW_SRCS)
 HDRS = $(RAW_HDRS) $(GENERATED_HDRS) $(IMPORTED_HDRS)
 OBJS = ${SRCS:.cc=.o}
-TARGET_DEPENDS = $(OBJS) $(RAW)
+TARGET_DEPENDS = $(OBJS) $(RAW) 
 #------------------------------------------------------------------------
 #------------------------------------------------------------------------
 MOSTLYCLEANFILES = $(OBJS) $(GENERATED)
@@ -70,4 +75,4 @@ CLEANFILES = $(MOSTLYCLEANFILES)
 DISTCLEANFILES = $(CLEANFILES)
 MAINTAINERCLEANFILES = $(DISTCLEANFILES)
 #------------------------------------------------------------------------
-#------------------------------------------------------------------------
+#-----------------------------------------------------------------------------
diff --git a/src/Make2.Debug b/lib/Make2.Debug
similarity index 94%
copy from src/Make2.Debug
copy to lib/Make2.Debug
index 660220a..ef56364 100644
--- a/src/Make2.Debug
+++ b/lib/Make2.Debug
@@ -1,4 +1,4 @@
-#$Id: Make2.Debug,v 26.97 2008/10/11 03:13:53 al Exp $ -*- Makefile -*-
+#$Id: Make2.Debug,v 26.138 2013/04/24 03:03:11 al Exp $ -*- Makefile -*-
 # Copyright (C) 2001 Albert Davis
 # Author: Albert Davis <aldavis at gnu.org>
 #
@@ -25,10 +25,12 @@ VPATH = .:..
 # Running the program will give a spew of line markers for debugging.
 
 CCC = g++
+TARGET_EXT = .so
 
 CCFLAGS = \
+-fPIC \
 -DHAVE_LIBREADLINE \
--DUNIX  -g -O0 -I. -I.. -DTRACE_UNTESTED \
+-DUNIX  -g -O0 -I. -I../../include -DTRACE_UNTESTED \
 -Wall -Wextra \
 -Wswitch-enum -Wundef -Wpointer-arith -Woverloaded-virtual \
 -Wcast-qual -Wcast-align -Wpacked -Wshadow -Wconversion \
@@ -40,13 +42,13 @@ CCFLAGS = \
 LIBS = \
 -lreadline -ltermcap \
 
-LDFLAGS = -rdynamic
+LDFLAGS = -shared
 
 %.SUFFIXES:
 .SUFFIXES: .o .cc
 .cc.o:; $(CCC) $(CCFLAGS) -c $<
 #------------------------------------------------------------------------
-$(TARGET): $(TARGET_DEPENDS)
+$(TARGET)$(TARGET_EXT): $(TARGET_DEPENDS)
 	rm -f $@
 	$(CCC) $(CCFLAGS) $(OBJS) $(LIBS) $(LDFLAGS) -o $@ 
 #------------------------------------------------------------
diff --git a/src/Make2.g++ b/lib/Make2.g++
similarity index 90%
copy from src/Make2.g++
copy to lib/Make2.g++
index b25e6df..963f453 100644
--- a/src/Make2.g++
+++ b/lib/Make2.g++
@@ -1,4 +1,4 @@
-#$Id: Make2.g++,v 26.134 2009/11/29 03:47:06 al Exp $ -*- Makefile -*-
+#$Id: Make2.g++,v 26.138 2013/04/24 03:03:11 al Exp $ -*- Makefile -*-
 # Copyright (C) 2001 Albert Davis
 # Author: Albert Davis <aldavis at gnu.org>
 #
@@ -24,22 +24,24 @@ VPATH = .:..
 # Standard base for g++.
 
 CCC = g++
+TARGET_EXT = .so
 
 CCFLAGS = \
+-fPIC \
 -DHAVE_LIBREADLINE \
--DUNIX -O3 -DNDEBUG -I.. -I. -W
+-DUNIX -O3 -DNDEBUG -I. -I../include -I../../include -W
 
 LIBS = \
 -lreadline -ltermcap \
 
 
-LDFLAGS = -rdynamic
+LDFLAGS = -shared
 
 .SUFFIXES:
 .SUFFIXES: .o .cc
 .cc.o:; $(CCC) $(CCFLAGS) -c $<
 #------------------------------------------------------------------------
-$(TARGET): $(TARGET_DEPENDS)
+$(TARGET)$(TARGET_EXT): $(TARGET_DEPENDS)
 	rm -f $@
 	$(CCC) $(CCFLAGS) $(OBJS) $(LIBS) $(LDFLAGS) -o $@ 
 #------------------------------------------------------------
diff --git a/modelgen/Make2.mingw32 b/lib/Make2.mingw32
similarity index 85%
copy from modelgen/Make2.mingw32
copy to lib/Make2.mingw32
index 3733fec..b0764ae 100644
--- a/modelgen/Make2.mingw32
+++ b/lib/Make2.mingw32
@@ -1,4 +1,4 @@
-#$Id: Make2.mingw32,v 26.81 2008/05/27 05:34:00 al Exp $ -*- Makefile -*-
+#$Id: Make2.mingw32,v 26.138 2013/04/24 03:03:11 al Exp $ -*- Makefile -*-
 # Copyright (C) 2001 Albert Davis
 # Author: Albert Davis <aldavis at gnu.org>
 #
@@ -25,28 +25,30 @@ VPATH = .:..
 
 CCC = i586-mingw32msvc-g++
 DLLTOOL = i586-mingw32msvc-dlltool
+TARGET_EXT = .dll
 
 CCFLAGS = \
--O2 -DNDEBUG -I.. -I.
+-DMAKEDLL \
+-O2 -DNDEBUG -I. -I../../include
 
-LIBS = \
+LIBS =
 
 
-LDFLAGS =
+LDFLAGS = -shared
 
 .SUFFIXES:
 .SUFFIXES: .o .cc
 .cc.o:; $(CCC) $(CCFLAGS) -c $<
 #------------------------------------------------------------------------
-$(TARGET): $(TARGET).exe lib$(TARGET).a
+$(TARGET): $(TARGET)$(TARGET_EXT) $(TARGET).a
 #------------------------------------------------------------------------
-$(TARGET).exe: $(TARGET_DEPENDS)
+$(TARGET)$(TARGET_EXT): $(TARGET_DEPENDS)
 	rm -f $@
 	$(CCC) $(CCFLAGS) $(OBJS) $(LIBS) $(LDFLAGS) -o $@ 
 #------------------------------------------------------------------------
-lib$(TARGET).a: $(TARGET_DEPENDS) $(TARGET).exe
+$(TARGET).a: $(TARGET_DEPENDS) $(TARGET)$(TARGET_EXT)
 	rm -f $@
-	$(DLLTOOL) -l $@ -D $(TARGET).exe $(OBJS) $(LIBS)
+	$(DLLTOOL) -l $@ -D $(TARGET)$(TARGET_EXT) $(OBJS) $(LIBS)
 #------------------------------------------------------------
 # If you are porting and using g++, you should use this file as a start,
 #	for a "release" version, optimized with run-time tracing removed.
diff --git a/lib/ap_convert.cc b/lib/ap_convert.cc
index e2aa4b2..df0b533 100644
--- a/lib/ap_convert.cc
+++ b/lib/ap_convert.cc
@@ -1,4 +1,4 @@
-/*$Id: ap_convert.cc,v 26.125 2009/10/15 20:58:21 al Exp $ -*- C++ -*-
+/*$Id: ap_convert.cc,v 26.138 2013/04/24 03:03:11 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -324,6 +324,8 @@ double CS::ctof()
     power *= ((OPT::units == uSI) ? (1e15) : 1e-12);
   }else if (skip1("fF")) {
     power *= 1e-15;
+  }else if (skip1("aA")) {
+    power *= 1e-18;
   }else if (skip1("kK")) {
     power *= 1e3;
   }else if (skip1("gG")) {
diff --git a/lib/bm.cc b/lib/bm.cc
index 327cf4d..57ddaee 100644
--- a/lib/bm.cc
+++ b/lib/bm.cc
@@ -1,4 +1,4 @@
-/*$Id: bm.cc,v 26.132 2009/11/24 04:26:37 al Exp $ -*- C++ -*-
+/*$Id: bm.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -22,6 +22,7 @@
  * behavioral modeling action base
  */
 //testing=script 2006.07.13
+#include "globals.h"
 #include "u_lang.h"
 #include "e_elemnt.h"
 #include "bm.h"
diff --git a/lib/bm_cond.cc b/lib/bm_cond.cc
index 35858ac..fb29c57 100644
--- a/lib/bm_cond.cc
+++ b/lib/bm_cond.cc
@@ -1,4 +1,4 @@
-/*$Id: bm_cond.cc,v 26.134 2009/11/29 03:47:06 al Exp $ -*- C++ -*-
+/*$Id: bm_cond.cc,v 26.138 2013/04/24 03:03:11 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -24,6 +24,7 @@
  * An array "_func" stores handles to commons specific to each mode.
  */
 //testing=script 2006.07.13
+#include "globals.h"
 #include "e_elemnt.h"
 #include "bm.h"
 /*--------------------------------------------------------------------------*/
@@ -54,9 +55,9 @@ private: // override virtual
 	{assert(_func[d->_sim->sim_mode()]); _func[d->_sim->sim_mode()]->tr_eval(d);}
   void  ac_eval(ELEMENT*d)const
 	{assert(_func[s_AC]);	   _func[s_AC]->ac_eval(d);}
-  TIME_PAIR tr_review(COMPONENT*d)
+  TIME_PAIR tr_review(COMPONENT*d)const
 	{assert(_func[d->_sim->sim_mode()]); return _func[d->_sim->sim_mode()]->tr_review(d);}
-  void  tr_accept(COMPONENT*d)
+  void  tr_accept(COMPONENT*d)const
 	{assert(_func[d->_sim->sim_mode()]); _func[d->_sim->sim_mode()]->tr_accept(d);}
   std::string name()const		{itested(); return "????";}
 };
diff --git a/lib/bm_value.cc b/lib/bm_value.cc
index 6666d63..f297127 100644
--- a/lib/bm_value.cc
+++ b/lib/bm_value.cc
@@ -1,4 +1,4 @@
-/*$Id: bm_value.cc,v 26.130 2009/11/15 21:51:59 al Exp $ -*- C++ -*-
+/*$Id: bm_value.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -23,6 +23,7 @@
  * used with tc, etc, and conditionals
  */
 //testing=script 2005.10.07
+#include "globals.h"
 #include "bm.h"
 /*--------------------------------------------------------------------------*/
 static EVAL_BM_VALUE p1(CC_STATIC);
diff --git a/lib/c__cmd.cc b/lib/c__cmd.cc
index b82c61f..7505abf 100644
--- a/lib/c__cmd.cc
+++ b/lib/c__cmd.cc
@@ -1,4 +1,4 @@
-/*$Id: c__cmd.cc,v 26.130 2009/11/15 21:51:59 al Exp $ -*- C++ -*-
+/*$Id: c__cmd.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -53,7 +53,7 @@ void CMD::cmdproc(CS& cmd, CARD_LIST* scope)
   // Map possible short names to full ones.
   // If this if/else block is removed, the only loss is the short names.
   // Although it looks like it can be used to make aliases, don't.
-  if (cmd.umatch("'|*|#|//|\""))	{itested(); s = "xxxxcomment";}
+  if (cmd.umatch("'|*|#|//|\""))	{itested();  s = "xxxxcomment";}
   else if (cmd.umatch("b{uild} "))      {itested();  s = "build";}
   else if (cmd.umatch("del{ete} "))     {            s = "delete";}
   else if (cmd.umatch("fo{urier} "))    {            s = "fourier";}
@@ -69,8 +69,8 @@ void CMD::cmdproc(CS& cmd, CARD_LIST* scope)
   else if (cmd.umatch("te{mperature} ")){itested();  s = "temperature";}
   else if (cmd.umatch("tr{ansient} "))  {            s = "transient";}
   else if (cmd.umatch("!"))		{	     s = "system";}
-  else if (cmd.umatch("<"))		{untested(); s = "<";}
-  else if (cmd.umatch(">"))		{untested(); s = ">";}
+  else if (cmd.umatch("<"))		{itested();  s = "<";}
+  else if (cmd.umatch(">"))		{itested();  s = ">";}
   else{ /* no shortcut available */
     cmd >> s;
     didsomething = false;
@@ -114,8 +114,8 @@ void CMD::command(const std::string& cs, CARD_LIST* scope)
   CMD* c = command_dispatcher[s];
   if (c) {
     c->do_it(cmd, scope);
-  }else{itested();
-    throw Exception("bad internal command: " + s);
+  }else{
+    error(bDEBUG, "bad internal command: " + s + '\n');
   }
 }
 /*--------------------------------------------------------------------------*/
diff --git a/lib/c_attach.cc b/lib/c_attach.cc
index df05988..8112028 100644
--- a/lib/c_attach.cc
+++ b/lib/c_attach.cc
@@ -1,4 +1,4 @@
-/*$Id: c_attach.cc,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: c_attach.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2007 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -102,6 +102,28 @@ public:
 } p2;
 DISPATCHER<CMD>::INSTALL d2(&command_dispatcher, "detach|unload", &p2);
 /*--------------------------------------------------------------------------*/
+class CMD_DETACH_ALL : public CMD {
+public:
+  void do_it(CS& cmd, CARD_LIST*)
+  {
+    if (CARD_LIST::card_list.is_empty()) {
+      for (std::map<std::string, void*>::iterator
+	     ii = attach_list.begin(); ii != attach_list.end(); ++ii) {
+	void* handle = ii->second;
+	if (handle) {
+	  dlclose(handle);
+	  ii->second = NULL;
+	}else{itested();
+	  throw Exception_CS("plugin not attached", cmd);
+	untested();}
+      }
+    }else{untested();
+      throw Exception_CS("detach prohibited when there is a circuit", cmd);
+    untested();}
+  }
+} p3;
+DISPATCHER<CMD>::INSTALL d3(&command_dispatcher, "detach_all", &p3);
+/*--------------------------------------------------------------------------*/
 }
 /*--------------------------------------------------------------------------*/
 /*--------------------------------------------------------------------------*/
diff --git a/lib/c_file.cc b/lib/c_file.cc
index 5c8bfa7..ca65b85 100644
--- a/lib/c_file.cc
+++ b/lib/c_file.cc
@@ -1,4 +1,4 @@
-/*$Id: c_file.cc,v 26.86 2008/07/07 22:31:11 al Exp $ -*- C++ -*-
+/*$Id: c_file.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -44,7 +44,11 @@ public:
       cmd >> file_name;
       CS file(CS::_INC_FILE, file_name);
       for (;;) {
-	OPT::language->parse_top_item(file, Scope);
+	if (OPT::language) {
+	  OPT::language->parse_top_item(file, Scope);
+	}else{untested();
+	  CMD::cmdproc(file.get_line(""), Scope);
+	}
       }
     }catch (Exception_File_Open& e) {
       cmd.warn(bDANGER, here, e.message() + '\n');
diff --git a/src/configure.old b/lib/configure
similarity index 79%
rename from src/configure.old
rename to lib/configure
index dad0a68..e39f96f 100755
--- a/src/configure.old
+++ b/lib/configure
@@ -1,13 +1,32 @@
 #!/bin/sh
-rm -f gnucap-modelgen
-ln -s ../modelgen/O/gnucap-modelgen .
-mkdir O
+# configure for library (shared object)
 
-echo "CCFLAGS = \\" >Make.ccflags
-echo "LIBS = -ldl \\" >Make.libs
-    
-(cd O; rm -f gnucap-modelgen; ln -s ../../modelgen/O/gnucap-modelgen gnucap-modelgen)
+mkdir -p O
+#-------------  Stuff added to enable --prefix  --------------
+if test "x$1" != "x"; then
+    # echo Found input parameter -- $1
+    #  Now see if the parameter is --prefix=
+    if test "x${1#--prefix=}" != "x$1"; then
+        # echo "Found --prefix in input args.  Setting prefix directory."
+        prefix=${1#--prefix=}
+    else
+        # echo "Found unrecognized parameter in input args."
+        #  Just use the default prefix dir.
+        prefix=/usr/local
+    fi
+ 
+else
+    # echo "No input parameter found."
+    #  Just use the default prefix dir
+    prefix=/usr/local
+fi
+
+sed -e "s#/usr/local#$prefix#" ../Makefile.template > Makefile
 
+#----------------------------------------------------------------
+echo "CCFLAGS = -fPIC \\" >Make.ccflags
+echo "LIBS =  \\" >Make.libs
+    
 rm -f a.out
 g++ -lreadline -ltermcap test_readline.cc
 if [ -f a.out ] ; then
@@ -19,22 +38,24 @@ else
 fi
 rm -f a.out
 
-echo "-DUNIX -O2 -DNDEBUG -I.. -I. -W" >>Make.ccflags
+echo "-DUNIX -O2 -DNDEBUG -I. -I../include -I../../include -W" >>Make.ccflags
 echo "" >>Make.libs
+echo "PREFIX = " $prefix >>Make.libs
 echo "" >>Make.libs
 
 cat <<CAT_EOF >Make.sys
 #------------------------------------------------------------------------
 VPATH = .:..
 CCC = g++
+TARGET_EXT = .so
 
-LDFLAGS = -rdynamic
+LDFLAGS = -shared
 
 .SUFFIXES:
 .SUFFIXES: .o .cc
 .cc.o:; \$(CCC) \$(CCFLAGS) -c \$<
 #------------------------------------------------------------------------
-\$(TARGET): \$(OBJS)
+\$(TARGET)\$(TARGET_EXT): \$(TARGET_DEPENDS)
 	rm -f \$@
 	\$(CCC) \$(CCFLAGS) \$(OBJS) \$(LIBS) \$(LDFLAGS) -o \$@
 #------------------------------------------------------------------------
@@ -43,27 +64,4 @@ CAT_EOF
 cat Make.ccflags Make.libs Make.sys >Make2
 rm  Make.ccflags Make.libs Make.sys
 
-#-------------  Stuff added to enable --prefix  --------------
-if test "x$1" != "x"; then
-    # echo Found input parameter -- $1
-    #  Now see if the parameter is --prefix=
-    if test "x${1#--prefix=}" != "x$1"; then
-        # echo "Found --prefix in input args.  Setting prefix directory."
-        prefix=${1#--prefix=}
-    else
-        # echo "Found unrecognized parameter in input args."
-        #  Just use the default prefix dir.
-        prefix=/usr/local
-    fi
- 
-else
-    # echo "No input parameter found."
-    #  Just use the default prefix dir
-    prefix=/usr/local
-fi
-
-sed -e "s#/usr/local#$prefix#" Makefile.template > Makefile
-
-#----------------------------------------------------------------
-
 exit 0
diff --git a/lib/d_logic.cc b/lib/d_logic.cc
index 1272906..abc3729 100644
--- a/lib/d_logic.cc
+++ b/lib/d_logic.cc
@@ -1,4 +1,4 @@
-/*$Id: d_logic.cc,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: d_logic.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -25,6 +25,7 @@
  * model:   .model mname LOGIC <args>
  */
 //testing=script,sparse 2006.07.17
+#include "globals.h"
 #include "d_subckt.h"
 #include "u_xprobe.h"
 #include "d_logic.h"
diff --git a/lib/d_subckt.cc b/lib/d_subckt.cc
index 652eff4..0ab5176 100644
--- a/lib/d_subckt.cc
+++ b/lib/d_subckt.cc
@@ -1,4 +1,4 @@
-/*$Id: d_subckt.cc,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: d_subckt.cc,v 26.138 2013/04/24 03:03:11 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -32,6 +32,7 @@
  *	- need to process the entire ring - for doesn't work
  */
 //testing=script 2006.07.17
+#include "globals.h"
 #include "d_subckt.h"
 /*--------------------------------------------------------------------------*/
 int DEV_SUBCKT::_count = -1;
@@ -171,25 +172,24 @@ void DEV_SUBCKT::expand()
   BASE_SUBCKT::expand();
   COMMON_SUBCKT* c = prechecked_cast<COMMON_SUBCKT*>(mutable_common());
   assert(c);
-  const CARD* model = find_looking_out(c->modelname());
   if (!_parent) {
+    const CARD* model = find_looking_out(c->modelname());
     if(!dynamic_cast<const MODEL_SUBCKT*>(model)) {
       throw Exception_Type_Mismatch(long_label(), c->modelname(), "subckt");
     }else{
       _parent = prechecked_cast<const MODEL_SUBCKT*>(model);
     }
   }else{
-    assert(model && model == _parent);
+    assert(find_looking_out(c->modelname()) == _parent);
   }
   
-  //assert(!c->_params._try_again);
-  assert(model->subckt());
-  assert(model->subckt()->params());
-  PARAM_LIST* pl = const_cast<PARAM_LIST*>(model->subckt()->params());
+  assert(_parent->subckt());
+  assert(_parent->subckt()->params());
+  PARAM_LIST* pl = const_cast<PARAM_LIST*>(_parent->subckt()->params());
   assert(pl);
   c->_params.set_try_again(pl);
-  assert(c->_params._try_again);
-  renew_subckt(model, this, scope(), &(c->_params));
+
+  renew_subckt(_parent, this, scope(), &(c->_params));
   subckt()->expand();
 }
 /*--------------------------------------------------------------------------*/
diff --git a/lib/e_base.cc b/lib/e_base.cc
index 3b27143..a63b48b 100644
--- a/lib/e_base.cc
+++ b/lib/e_base.cc
@@ -1,4 +1,4 @@
-/*$Id: e_base.cc,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: e_base.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -36,13 +36,18 @@ static char fix_case(char c)
 double CKT_BASE::tr_probe_num(const std::string&)const {return NOT_VALID;}
 XPROBE CKT_BASE::ac_probe_ext(const std::string&)const {return XPROBE(NOT_VALID, mtNONE);}
 /*--------------------------------------------------------------------------*/
-SIM_DATA sim_data;
-SIM_DATA* CKT_BASE::_sim = &sim_data;
+SIM_DATA* CKT_BASE::_sim = NULL; 
+PROBE_LISTS* CKT_BASE::_probe_lists = NULL;
 /*--------------------------------------------------------------------------*/
 CKT_BASE::~CKT_BASE()
 {
   trace1("~CKT_BASE", _probes);
-  PROBE_LISTS::purge(this);
+  if (_probes == 0) {
+  }else if (!_probe_lists) {untested();
+  }else if (!_sim) {untested();
+  }else{
+    _probe_lists->purge(this);
+  }
   trace1("", _probes);
   assert(_probes==0);
 }
@@ -121,8 +126,8 @@ double CKT_BASE::ac_probe_num(const std::string& what)const
 {
   int ii = 0;
   for (PROBELIST::const_iterator
-       p  = PROBE_LISTS::store[_sim->_mode].begin();
-       p != PROBE_LISTS::store[_sim->_mode].end();
+       p  = _probe_lists->store[_sim->_mode].begin();
+       p != _probe_lists->store[_sim->_mode].end();
        ++p) {
     if (wmatch(p->label(), probe_name)) {
       return &(_sim->_waves[ii]);
diff --git a/lib/e_cardlist.cc b/lib/e_cardlist.cc
index ad09e00..ca7ef6c 100644
--- a/lib/e_cardlist.cc
+++ b/lib/e_cardlist.cc
@@ -1,4 +1,4 @@
-/*$Id: e_cardlist.cc,v 26.136 2009/12/08 02:03:49 al Exp $ -*- C++ -*-
+/*$Id: e_cardlist.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -33,8 +33,7 @@
 CARD_LIST::CARD_LIST()
   :_parent(NULL),
    _nm(new NODE_MAP),
-   _params(NULL),
-   _language(NULL)
+   _params(NULL)
 {
 }
 /*--------------------------------------------------------------------------*/
@@ -42,8 +41,7 @@ CARD_LIST::CARD_LIST(const CARD* model, CARD* owner,
 		     const CARD_LIST* scope, PARAM_LIST* p)
   :_parent(NULL),
    _nm(new NODE_MAP),
-   _params(NULL),
-   _language(NULL)
+   _params(NULL)
 {
   assert(model);
   assert(model->subckt());
diff --git a/lib/e_compon.cc b/lib/e_compon.cc
index 05af01f..ca81a41 100644
--- a/lib/e_compon.cc
+++ b/lib/e_compon.cc
@@ -1,4 +1,4 @@
-/*$Id: e_compon.cc,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: e_compon.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -377,7 +377,10 @@ COMPONENT::COMPONENT()
    _q_for_eval(-1),
    _time_by()
 {
-  _sim->uninit();
+  if (_sim) {
+    _sim->uninit();
+  }else{
+  }
 }
 /*--------------------------------------------------------------------------*/
 COMPONENT::COMPONENT(const COMPONENT& p)
@@ -390,7 +393,10 @@ COMPONENT::COMPONENT(const COMPONENT& p)
    _q_for_eval(-1),
    _time_by(p._time_by)
 {
-  _sim->uninit();
+  if (_sim) {
+    _sim->uninit();
+  }else{untested();
+  }
   attach_common(p._common);
   assert(_common == p._common);
 }
@@ -398,7 +404,10 @@ COMPONENT::COMPONENT(const COMPONENT& p)
 COMPONENT::~COMPONENT()
 {
   detach_common();
-  _sim->uninit();
+  if (_sim) {
+    _sim->uninit();
+  }else{
+  }
 }
 /*--------------------------------------------------------------------------*/
 bool COMPONENT::node_is_grounded(int i)const 
diff --git a/lib/e_elemnt.cc b/lib/e_elemnt.cc
index 71f3620..56ba52a 100644
--- a/lib/e_elemnt.cc
+++ b/lib/e_elemnt.cc
@@ -1,4 +1,4 @@
-/*$Id: e_elemnt.cc,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: e_elemnt.cc,v 26.138 2013/04/24 03:03:11 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -192,6 +192,7 @@ void ELEMENT::tr_iwant_matrix_passive()
 
   assert(_n[OUT1].m_() != INVALID_NODE);
   assert(_n[OUT2].m_() != INVALID_NODE);
+  //BUG// assert can fail as a result of some parse errors
 
   _sim->_aa.iwant(_n[OUT1].m_(),_n[OUT2].m_());
   _sim->_lu.iwant(_n[OUT1].m_(),_n[OUT2].m_());
@@ -207,6 +208,7 @@ void ELEMENT::tr_iwant_matrix_active()
   assert(_n[OUT2].m_() != INVALID_NODE);
   assert(_n[IN1].m_() != INVALID_NODE);
   assert(_n[IN2].m_() != INVALID_NODE);
+  //BUG// assert can fail as a result of some parse errors
 
   //_sim->_aa.iwant(_n[OUT1].m_(),_n[OUT2].m_());
   _sim->_aa.iwant(_n[OUT1].m_(),_n[IN1].m_());
diff --git a/lib/e_model.cc b/lib/e_model.cc
index d556e29..2b0c333 100644
--- a/lib/e_model.cc
+++ b/lib/e_model.cc
@@ -1,4 +1,4 @@
-/*$Id: e_model.cc,v 26.132 2009/11/24 04:26:37 al Exp $ -*- C++ -*-
+/*$Id: e_model.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -30,7 +30,10 @@ MODEL_CARD::MODEL_CARD(const COMPONENT* p)
    _component_proto(p),
    _tnom_c(NOT_INPUT)
 {
-  _sim->uninit();
+  if (_sim) {
+    _sim->uninit();
+  }else{
+  }
 }
 /*--------------------------------------------------------------------------*/
 MODEL_CARD::MODEL_CARD(const MODEL_CARD& p)
@@ -38,12 +41,18 @@ MODEL_CARD::MODEL_CARD(const MODEL_CARD& p)
    _component_proto(p._component_proto),
    _tnom_c(p._tnom_c)
 {
-  _sim->uninit();
+  if (_sim) {
+    _sim->uninit();
+  }else{untested();
+  }
 }
 /*--------------------------------------------------------------------------*/
 MODEL_CARD::~MODEL_CARD()
 {
-  _sim->uninit(); // disconnect models from devices
+  if (_sim) {
+    _sim->uninit();
+  }else{
+  }
 }
 /*--------------------------------------------------------------------------*/
 void MODEL_CARD::set_param_by_index(int i, std::string& value, int offset)
diff --git a/lib/e_node.cc b/lib/e_node.cc
index 101ecd2..a9a7c49 100644
--- a/lib/e_node.cc
+++ b/lib/e_node.cc
@@ -1,4 +1,4 @@
-/*$Id: e_node.cc,v 26.132 2009/11/24 04:26:37 al Exp $ -*- C++ -*-
+/*$Id: e_node.cc,v 26.138 2013/04/24 03:03:11 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -73,7 +73,7 @@ inline LOGICVAL& LOGICVAL::set_in_transition(LOGICVAL newval)
 LOGIC_NODE::LOGIC_NODE()
   :NODE(),
    _family(0),
-   _d_iter(0),
+   _d_iter(-1), // initially d_iter is older than a_iter
    _a_iter(0),
    _final_time(0),
    _lastchange(0),
diff --git a/lib/globals.cc b/lib/globals.cc
index a0df670..56c6d35 100644
--- a/lib/globals.cc
+++ b/lib/globals.cc
@@ -1,4 +1,4 @@
-/*$Id: globals.cc,v 26.136 2009/12/07 23:20:42 al Exp $ -*- C++ -*-
+/*$Id: globals.cc,v 26.138 2013/04/24 03:03:11 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -25,10 +25,16 @@
 #include "u_prblst.h"
 #include "e_cardlist.h"
 #include "u_status.h"
+#include "patchlev.h"
 /*--------------------------------------------------------------------------*/
 // here to make sure they get constructed and destructed in proper order
 // first construct, last destruct
 
+const char* lib_version()
+{
+  return PATCHLEVEL;
+}
+
 // dispatchers must be constructed before any static objects they contain
 // and destructed after.
 RUN_MODE ENV::run_mode = rPRE_MAIN;
@@ -45,10 +51,6 @@ DISPATCHER<PROBELIST> probe_dispatcher;
 
 // for the rest, order should not matter, but it is convenient here
 CARD_LIST CARD_LIST::card_list;	// root circuit 
-PROBELIST PROBE_LISTS::alarm[sCOUNT]; /* list of alarm points */
-PROBELIST PROBE_LISTS::plot[sCOUNT];  /* list of plot points */
-PROBELIST PROBE_LISTS::print[sCOUNT]; /* list of print points */
-PROBELIST PROBE_LISTS::store[sCOUNT]; /* list of pts to store for postproc */
 
 // the rest of this should not be here, is residue of old stuff
 STATUS status;
diff --git a/lib/io.cc b/lib/io.cc
index 288640d..a5fe5d6 100644
--- a/lib/io.cc
+++ b/lib/io.cc
@@ -1,4 +1,4 @@
-/*$Id: io.cc,v 26.81 2008/05/27 05:34:00 al Exp $ -*- C++ -*-
+/*$Id: io.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -30,4 +30,3 @@ OMSTREAM IO::plotout;
 bool	IO::plotset(false);
 int	IO::formaat(0);
 bool	IO::incipher(false);
-FILE*	IO::stream[MAXHANDLE+1] = {0, stdout, stderr};
diff --git a/lib/io_contr.cc b/lib/io_contr.cc
index fe366cf..3cbc3c1 100644
--- a/lib/io_contr.cc
+++ b/lib/io_contr.cc
@@ -1,4 +1,4 @@
-/*$Id: io_contr.cc,v 26.110 2009/05/28 15:32:04 al Exp $ -*- C++ -*-
+/*$Id: io_contr.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -126,8 +126,6 @@ OMSTREAM* outset(CS& cmd, OMSTREAM* out)
       cmd >> command;
       to_pipe = popen(command.c_str(), "w");
       assert(to_pipe);
-
-      IO::stream[static_cast<int>(fileno(to_pipe))] = to_pipe;
       (*out).attach(to_pipe);
 
       IO::formaat = ftos_EXP;
diff --git a/lib/io_out.cc b/lib/io_out.cc
index 71c79aa..0cce0e4 100644
--- a/lib/io_out.cc
+++ b/lib/io_out.cc
@@ -1,4 +1,4 @@
-/*$Id: io_out.cc,v 26.81 2008/05/27 05:34:00 al Exp $ -*- C++ -*-
+/*$Id: io_out.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -39,6 +39,7 @@
 //	OMSTREAM & OMSTREAM::operator<<(const char *str)
 //	OMSTREAM & OMSTREAM::operator<<(char chr)
 /*--------------------------------------------------------------------------*/
+FILE* OMSTREAM::_stream[MAXHANDLE+1];
 unsigned OMSTREAM::_cpos[MAXHANDLE+1];         /* character counter    */
 /*--------------------------------------------------------------------------*/
 /* octal: make octal string for an int
@@ -190,10 +191,10 @@ OMSTREAM & OMSTREAM::operator<<(char chr)
   
   for (int ii=0, mm=1;   ii<=MAXHANDLE;   ++ii, mm<<=1) {
     if (_mask & mm) {
-      assert(IO::stream[ii]);
+      assert(_stream[ii]);
       if (chr=='\b') {untested();
 	--_cpos[ii];
-	fflush(IO::stream[ii]);
+	fflush(_stream[ii]);
       }else if (count) {
 	++_cpos[ii];
       }else{itested();
@@ -201,18 +202,18 @@ OMSTREAM & OMSTREAM::operator<<(char chr)
       
       if (chr=='\n') {
 	_cpos[ii] = 0;
-	fflush(IO::stream[ii]);
+	fflush(_stream[ii]);
       }else if (chr=='\r') {itested();
 	if (_cpos[ii] == 0) {untested();
 	  suppress = true;
 	}else{itested();
 	  _cpos[ii] = 0;
-	  fflush(IO::stream[ii]);
+	  fflush(_stream[ii]);
 	}
       }else{
       }
       if (!suppress) {
-	fputc(chr,IO::stream[ii]);
+	fputc(chr,_stream[ii]);
       }else{itested();
       }
     }else{
diff --git a/lib/io_xopen.cc b/lib/io_xopen.cc
index b2f4e2d..72820fc 100644
--- a/lib/io_xopen.cc
+++ b/lib/io_xopen.cc
@@ -1,4 +1,4 @@
-/*$Id: io_xopen.cc,v 26.81 2008/05/27 05:34:00 al Exp $ -*- C++ -*-
+/*$Id: io_xopen.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -106,13 +106,7 @@ FILE *xopen(CS& cmd, const char *ext, const char *how)
     code = fopen(fname,how);
   }
   
-  if (code && fileno(code)>MAXHANDLE) {untested(); 
-    error(bWARNING, "internal error: files: %d\n", fileno(code));
-    fclose(code);
-    code = NULL;
-  }
   if (code) {
-    IO::stream[static_cast<int>(fileno(code))] = code;
   }else{itested();
     error(bWARNING, "can't open %s, %s\n", fname, strerror(errno));
   }
diff --git a/lib/m_expression_reduce.cc b/lib/m_expression_reduce.cc
index db46508..1f5d6fc 100644
--- a/lib/m_expression_reduce.cc
+++ b/lib/m_expression_reduce.cc
@@ -1,4 +1,4 @@
-/*$Id: m_expression_reduce.cc,v 26.127 2009/11/09 16:06:11 al Exp $ -*- C++ -*-
+/*$Id: m_expression_reduce.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2003 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -25,6 +25,7 @@
  *	Not sure what should happen when expression is empty
  */
 //testing=script,sparse 2009.08.12
+#include "globals.h"
 #include "u_function.h"
 #include "u_parameter.h"
 /*--------------------------------------------------------------------------*/
@@ -173,7 +174,7 @@ void Token_BINOP::stack_op(Expression* E)const
 	delete t1;
       }else{
 	// fail - one arg is unknown, push back args
-	if (strchr("+*", name()[0]) && !dynamic_cast<const Float*>(t1->data())) {untested();
+	if (strchr("+*", name()[0]) && !dynamic_cast<const Float*>(t1->data())) {
 	  // change order to enable later optimization
 	  E->push_back(t1);
 	  E->push_back(t2);
@@ -185,19 +186,19 @@ void Token_BINOP::stack_op(Expression* E)const
 	delete t;
       }
     }else if (((*t2) == (*this)) && strchr("+*", name()[0])
-	      && dynamic_cast<Token_CONSTANT*>(E->back())) {untested();
+	      && dynamic_cast<Token_CONSTANT*>(E->back())) {
       // have # + # + .. becomes result + (previous unknown, try to optimize)
       Token* t3 = E->back();
       E->pop_back();
       Token* t = op(t3, t1);
       assert(t);
-      if (t->data()) {untested();
+      if (t->data()) {
 	// success
 	E->push_back(t);
 	E->push_back(t2);
 	delete t3;
 	delete t1;
-      }else{untested();
+      }else{
 	// fail - push all
 	E->push_back(t3);
 	E->push_back(t2);
diff --git a/lib/test_readline.cc b/lib/test_readline.cc
index 37bcb6d..4ad7c93 100644
--- a/lib/test_readline.cc
+++ b/lib/test_readline.cc
@@ -1,7 +1,6 @@
 //testing=trivial 2006.07.17
-#include "md.h"
-  #include <readline/readline.h>
-  #include <readline/history.h>
+#include <readline/readline.h>
+#include <readline/history.h>
 int main()
 {
   char* line_read = readline("xx");
diff --git a/lib/u_lang.cc b/lib/u_lang.cc
index 55acca6..e61eeae 100644
--- a/lib/u_lang.cc
+++ b/lib/u_lang.cc
@@ -1,4 +1,4 @@
-/*$Id: u_lang.cc,v 26.131 2009/11/20 08:22:10 al Exp $ -*- C++ -*-
+/*$Id: u_lang.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2006 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -19,6 +19,7 @@
  * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
  * 02110-1301, USA.
  */
+#include "globals.h"
 #include "c_comand.h"
 #include "d_dot.h"
 #include "d_coment.h"
@@ -26,6 +27,14 @@
 #include "e_model.h"
 #include "u_lang.h"
 /*--------------------------------------------------------------------------*/
+LANGUAGE::~LANGUAGE()
+{itested();
+  if (OPT::language == this) {itested();
+    OPT::language = NULL;
+  }else{itested();
+  }
+}
+/*--------------------------------------------------------------------------*/
 void LANGUAGE::parse_top_item(CS& cmd, CARD_LIST* Scope)
 {
   cmd.get_line(I_PROMPT);
@@ -174,6 +183,16 @@ bool Get(CS& cmd, const std::string& key, LANGUAGE** val)
     if (lang) {
       *val = lang;
     }else{
+      std::string choices;
+      for(DISPATCHER<LANGUAGE>::const_iterator
+	  i = language_dispatcher.begin(); i != language_dispatcher.end(); ++i) {
+	LANGUAGE* c = i->second;
+	if (c) {
+	  choices += c->name() + ' ';
+	}else{
+	}
+      }
+      cmd.warn(bWARNING, "need a language (" + choices + ")");
     }
     return true;
   }else{
diff --git a/lib/u_opt2.cc b/lib/u_opt2.cc
index e2680a7..535803b 100644
--- a/lib/u_opt2.cc
+++ b/lib/u_opt2.cc
@@ -1,4 +1,4 @@
-/*$Id: u_opt2.cc,v 26.132 2009/11/24 04:26:37 al Exp $ -*- C++ -*-
+/*$Id: u_opt2.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -22,6 +22,8 @@
  * command and functions to access OPT class
  */
 //testing=script,complete 2006.07.14
+#include "globals.h"
+#include "c_comand.h"
 #include "u_lang.h"
 #include "l_compar.h"
 #include "ap.h"
@@ -158,8 +160,8 @@ bool OPT::set_values(CS& cmd)
       || Get(cmd, "edit",	   &edit)
       || Get(cmd, "recur{sion}",   &recursion)
       || (Get(cmd, "lang{uage}",   &language)
-	  && ((case_insensitive = language->case_insensitive()),
-	      (units = language->units()), true))
+	  && ((case_insensitive = ((language) ? (language->case_insensitive()) : false)),
+	      (units = ((language) ? (language->units()) : uSI)), true))
       || Get(cmd, "insensitive",   &case_insensitive)
       || (cmd.umatch("units {=}") &&
 	  (ONE_OF
@@ -317,4 +319,15 @@ void OPT::print(OMSTREAM& o)
   //o << "  pivrel=" << pivrel;
 }
 /*--------------------------------------------------------------------------*/
+namespace {
+  class CMD_OPT : public CMD {
+  public:
+    void do_it(CS& cmd, CARD_LIST*) {
+      static OPT o;
+      o.command(cmd);
+    }
+  } p5;
+  DISPATCHER<CMD>::INSTALL d5(&command_dispatcher, "options|set|width", &p5);
+}
+/*--------------------------------------------------------------------------*/
 /*--------------------------------------------------------------------------*/
diff --git a/lib/u_prblst.cc b/lib/u_prblst.cc
index e889b2d..4a23647 100644
--- a/lib/u_prblst.cc
+++ b/lib/u_prblst.cc
@@ -1,4 +1,4 @@
-/*$Id: u_prblst.cc,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: u_prblst.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -117,6 +117,8 @@ void PROBELIST::remove_one(CKT_BASE *brh)
 {
   assert(brh);
   erase(remove(begin(), end(), brh), end());
+  // remove .. removes all that match and compacts the list, leaving blanks at the end
+  // erase  .. shortens, throw away the blanks at the end
 }
 /*--------------------------------------------------------------------------*/
 /* add_list: add a "list" of probes, usually only one
diff --git a/lib/u_probe.cc b/lib/u_probe.cc
index f73b059..56c7975 100644
--- a/lib/u_probe.cc
+++ b/lib/u_probe.cc
@@ -1,4 +1,4 @@
-/*$Id: u_probe.cc,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: u_probe.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -63,7 +63,7 @@ PROBE& PROBE::operator=(const PROBE& p)
   _hi   = p._hi;
   if (_brh) {
     _brh->inc_probes();
-  }else{untested();
+  }else{itested();
   }
   return *this;
 }
@@ -80,7 +80,7 @@ void PROBE::detach()
   }else{
   }
   _what = "";
-  _brh = 0;
+  _brh = NULL;
 }
 /*--------------------------------------------------------------------------*/
 /* label: returns a string corresponding to a possible probe point
diff --git a/lib/u_sim_data.cc b/lib/u_sim_data.cc
index 23d120b..b29de25 100644
--- a/lib/u_sim_data.cc
+++ b/lib/u_sim_data.cc
@@ -1,4 +1,4 @@
-/*$Id: u_sim_data.cc,v 26.135 2009/12/02 09:26:53 al Exp $ -*- C++ -*-
+/*$Id: u_sim_data.cc,v 26.137 2010/04/10 02:37:33 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -22,11 +22,119 @@
  * aux functions associated with the SIM class
  */
 //testing=obsolete
+#include "m_wave.h"
 #include "e_node.h"
 #include "u_nodemap.h"
 #include "e_cardlist.h"
 #include "u_status.h"
 /*--------------------------------------------------------------------------*/
+SIM_DATA::SIM_DATA()
+  :_time0(0.),
+   _freq(0.),
+   _temp_c(0.),
+   _damp(0.),
+   _dtmin(0.),
+   _genout(0.),
+   _bypass_ok(true),
+   _fulldamp(false),
+   _last_time(0.),
+   _freezetime(false),
+   //_iter(),
+   _user_nodes(0),
+   _subckt_nodes(0),
+   _model_nodes(0),
+   _total_nodes(0),
+   _jomega(0.,0.),
+   _limiting(true),
+   _vmax(0.),
+   _vmin(0.),
+   _uic(false),
+   _inc_mode(tsNO),
+   //_mode(),
+   //_phase(),
+   _nm(NULL),
+   _i(NULL),
+   _v0(NULL),
+   _vt1(NULL),
+   _ac(NULL),
+   _nstat(NULL),
+   _vdc(NULL),
+   _aa(),
+   _lu(),
+   _acx(),
+   _eq(),
+   _loadq(),
+   _acceptq(),
+   _evalq1(),
+   _evalq2(),
+   _late_evalq(),
+   _evalq(NULL),
+   _evalq_uc(NULL),
+   _waves(NULL)
+{
+  _evalq = &_evalq1;
+  _evalq_uc = &_evalq2;
+}
+/*--------------------------------------------------------------------------*/
+SIM_DATA::~SIM_DATA()
+{
+  if (_nm) {untested();
+    delete [] _nm;
+    _nm = NULL;
+  }else{
+  }
+  if (_i) {untested();
+    delete [] _i;
+    _i = NULL;
+  }else{
+  }
+  if (_v0) {untested();
+    delete [] _v0;
+    _v0 = NULL;
+  }else{
+  }
+  if (_vt1) {untested();
+    delete [] _vt1;
+    _vt1 = NULL;
+  }else{
+  }
+  if (_ac) {untested();
+    delete [] _ac;
+    _ac = NULL;
+  }else{
+  }
+  if (_nstat) {untested();
+    delete [] _nstat;
+    _nstat = NULL;
+  }else{
+  }
+  if (_vdc) {untested();
+    delete [] _vdc;
+    _vdc = NULL;
+  }else{
+  }
+  //assert(_eq.empty()); //BUG// should be empty here
+  assert(_loadq.empty());
+  assert(_acceptq.empty());
+  assert(_evalq1.empty());
+  assert(_evalq2.empty());
+  assert(_late_evalq.empty());
+  if (_evalq) {
+    _evalq = NULL;
+  }else{untested();
+  }
+  if (_evalq_uc) {
+    _evalq_uc = NULL;
+  }else{untested();
+  }
+  if (_waves) {
+    delete [] _waves;
+    _waves = NULL;
+  }else{
+  }
+}
+/*--------------------------------------------------------------------------*/
+/*--------------------------------------------------------------------------*/
 void SIM_DATA::set_limit()
 {
   for (int ii = 1;  ii <= _total_nodes;  ++ii) {
diff --git a/main/Make.depend b/main/Make.depend
new file mode 100644
index 0000000..dc6e42c
--- /dev/null
+++ b/main/Make.depend
@@ -0,0 +1,9 @@
+main.o: main.cc ../include/globals.h ../include/l_dispatcher.h \
+ ../include/l_stlextra.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/ap.h \
+ ../include/u_prblst.h ../include/u_probe.h ../include/l_compar.h \
+ ../include/u_sim_data.h ../include/constant.h ../include/m_matrix.h \
+ ../include/e_cardlist.h ../include/u_lang.h ../include/ap.h \
+ ../include/patchlev.h ../include/c_comand.h ../include/e_card.h \
+ ../include/e_base.h ../include/declare.h
diff --git a/modelgen/Make3 b/main/Make1
similarity index 56%
rename from modelgen/Make3
rename to main/Make1
index 2e5f95e..4f17361 100644
--- a/modelgen/Make3
+++ b/main/Make1
@@ -1,4 +1,4 @@
-#$Id: Make3,v 26.133 2009/11/26 04:58:04 al Exp $ -*- Makefile -*-
+#$Id: Make1,v 26.138 2013/04/24 03:32:53 al Exp $ -*- Makefile -*-
 # Copyright (C) 2001 Albert Davis
 # Author: Albert Davis <aldavis at gnu.org>
 #
@@ -19,60 +19,47 @@
 # Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
 # 02110-1301, USA.
 #------------------------------------------------------------------------
-# Part 3 of a Makefile
-#------------------------------------------------------------------------
-tags: $(HDRS) $(SRCS)
-	etags --c++ $(HDRS) $(SRCS)
-#------------------------------------------------------------------------
-checkin:
-	date "+#define PATCHLEVEL \"%Y.%m.%d RCS `cat rcsversion`\"" \
-		>patchlev.h
-	-ci -u`cat rcsversion` -m. -t/dev/null $(RAW)
-	-ci -r`cat rcsversion` -m. -t/dev/null $(DELETED) $(GENERATED)
-	touch patchlev.h
-#------------------------------------------------------------------------
-checkout:
-	co $(RAW)
-#------------------------------------------------------------------------
-#unclean:
-#	rm $(ALL)
-#------------------------------------------------------------------------
-backup:
-	-mkdir BACKUP
-	cp $(RAW) BACKUP
-#------------------------------------------------------------------------
-depend: Make.depend
-Make.depend: $(SRCS) $(HDRS)
-	$(CCC) -MM $(CCFLAGS) $(SRCS) > Make.depend
-#-----------------------------------------------------------------------------
-date:
-	date "+#define PATCHLEVEL \"%Y.%m.%d RCS `cat rcsversion` +\"" \
-		>patchlev.h
-#-----------------------------------------------------------------------------
-header-check:
-	$(CCC) -o /dev/null $(RAW_HDRS)
-#-----------------------------------------------------------------------------
-manifest: MANIFEST
-MANIFEST: $(DISTFILES)
-	echo $(DISTFILES) | sed 's/ /\n/g' >MANIFEST
-#-----------------------------------------------------------------------------
-md5sums: MD5SUMS
-MD5SUMS: $(DISTFILES)
-	md5sum $(DISTFILES) | grep -v MD5SUMS >MD5SUMS
-#-----------------------------------------------------------------------------
-mostlyclean:
-	rm -rf $(MOSTLYCLEANFILES)
-	rm -f */*.o */*.obj */*.h */*.cc
-clean:
-	rm -rf $(CLEANFILES)
-	rm -f */*.o */*.obj */*.h */*.cc
-distclean:
-	rm -rf $(DISTCLEANFILES)
-	rm -f */*.o */*.obj */*.h */*.cc
-	rm -f *~ \#*\#
-maintainer-clean:
-	rm -rf $(MAINTAINERCLEANFILES)
-	rm -f */*.o */*.obj */*.h */*.cc
-	rm -f *~ \#*\#
-#-----------------------------------------------------------------------------
-#-----------------------------------------------------------------------------
+TARGET = gnucap
+#------------------------------------------------------------------------
+INSTALL_FILES = $(TARGET)$(TARGET_EXT)
+#------------------------------------------------------------------------
+INSTALL_DIR = $(PREFIX)/bin
+#------------------------------------------------------------------------
+DELETED =
+#------------------------------------------------------------------------
+RAW_SRCS = main.cc 
+#------------------------------------------------------------------------
+RAW_OTHER = Make1 Make2.g++ Make2.Debug Make2.mingw32 configure
+#------------------------------------------------------------------------
+RAW = $(RAW_HDRS) $(RAW_SRCS) $(RAW_OTHER)
+#------------------------------------------------------------------------
+#------------------------------------------------------------------------
+IMPORTED_SRCS =
+IMPORTED_HDRS =
+IMPORTED_OTHER =
+IMPORTED = $(IMPORTED_SRCS) $(IMPORTED_HDRS) $(IMPORTED_OTHER)
+#------------------------------------------------------------------------
+#------------------------------------------------------------------------
+GENERATED_SRCS =
+GENERATED_HDRS =
+GENERATED_OTHER = Make.aux
+GENERATED = $(GENERATED_HDRS) $(GENERATED_SRCS) $(GENERATED_OTHER)
+#------------------------------------------------------------------------
+#------------------------------------------------------------------------
+GENERATED_DIST = Make.depend
+IMPORTED_DIST = $(IMPORTED)
+DISTFILES = $(RAW) $(GENERATED_DIST) $(IMPORTED_DIST)
+#------------------------------------------------------------------------
+#------------------------------------------------------------------------
+SRCS = $(IMPORTED_SRCS) $(GENERATED_SRCS) $(RAW_SRCS)
+HDRS = $(RAW_HDRS) $(GENERATED_HDRS) $(IMPORTED_HDRS)
+OBJS = ${SRCS:.cc=.o}
+TARGET_DEPENDS = $(OBJS) $(RAW) 
+#------------------------------------------------------------------------
+#------------------------------------------------------------------------
+MOSTLYCLEANFILES = $(OBJS) $(GENERATED)
+CLEANFILES = $(MOSTLYCLEANFILES)
+DISTCLEANFILES = $(CLEANFILES)
+MAINTAINERCLEANFILES = $(DISTCLEANFILES)
+#------------------------------------------------------------------------
+#------------------------------------------------------------------------
diff --git a/src/Make2.Debug b/main/Make2.Debug
similarity index 91%
rename from src/Make2.Debug
rename to main/Make2.Debug
index 660220a..1438d8d 100644
--- a/src/Make2.Debug
+++ b/main/Make2.Debug
@@ -1,4 +1,4 @@
-#$Id: Make2.Debug,v 26.97 2008/10/11 03:13:53 al Exp $ -*- Makefile -*-
+#$Id: Make2.Debug,v 26.138 2013/04/24 03:32:53 al Exp $ -*- Makefile -*-
 # Copyright (C) 2001 Albert Davis
 # Author: Albert Davis <aldavis at gnu.org>
 #
@@ -21,14 +21,14 @@
 #------------------------------------------------------------------------
 VPATH = .:..
 
-# Standard base for g++, debugging, a little optimization
+# Standard base for g++, debugging, no optimization
 # Running the program will give a spew of line markers for debugging.
 
 CCC = g++
 
 CCFLAGS = \
 -DHAVE_LIBREADLINE \
--DUNIX  -g -O0 -I. -I.. -DTRACE_UNTESTED \
+-DUNIX  -g -O0 -I. -I../../include -DTRACE_UNTESTED \
 -Wall -Wextra \
 -Wswitch-enum -Wundef -Wpointer-arith -Woverloaded-virtual \
 -Wcast-qual -Wcast-align -Wpacked -Wshadow -Wconversion \
@@ -38,9 +38,11 @@ CCFLAGS = \
 #last line not in 4.1
 
 LIBS = \
--lreadline -ltermcap \
+-lgnucap \
+-lreadline -ltermcap 
 
-LDFLAGS = -rdynamic
+LDFLAGS = -rdynamic  \
+-L../../lib/O-DEBUG
 
 %.SUFFIXES:
 .SUFFIXES: .o .cc
@@ -48,7 +50,7 @@ LDFLAGS = -rdynamic
 #------------------------------------------------------------------------
 $(TARGET): $(TARGET_DEPENDS)
 	rm -f $@
-	$(CCC) $(CCFLAGS) $(OBJS) $(LIBS) $(LDFLAGS) -o $@ 
+	$(CCC)  $(CCFLAGS) $(OBJS) $(LIBS) $(LDFLAGS) -o $@
 #------------------------------------------------------------
 # warnings turned off, because they warn of nothing wrong
 # 4.3
diff --git a/src/Make2.g++ b/main/Make2.g++
similarity index 91%
rename from src/Make2.g++
rename to main/Make2.g++
index b25e6df..5814824 100644
--- a/src/Make2.g++
+++ b/main/Make2.g++
@@ -1,4 +1,4 @@
-#$Id: Make2.g++,v 26.134 2009/11/29 03:47:06 al Exp $ -*- Makefile -*-
+#$Id: Make2.g++,v 26.138 2013/04/24 03:32:53 al Exp $ -*- Makefile -*-
 # Copyright (C) 2001 Albert Davis
 # Author: Albert Davis <aldavis at gnu.org>
 #
@@ -27,13 +27,14 @@ CCC = g++
 
 CCFLAGS = \
 -DHAVE_LIBREADLINE \
--DUNIX -O3 -DNDEBUG -I.. -I. -W
+-DUNIX -O3 -DNDEBUG -I. -I../include -I../../include -W
 
 LIBS = \
--lreadline -ltermcap \
+-lgnucap \
+-lreadline -ltermcap 
 
-
-LDFLAGS = -rdynamic
+LDFLAGS = -rdynamic \
+-L../../lib/O
 
 .SUFFIXES:
 .SUFFIXES: .o .cc
diff --git a/src/Make2.mingw32 b/main/Make2.mingw32
similarity index 95%
rename from src/Make2.mingw32
rename to main/Make2.mingw32
index 3733fec..2218268 100644
--- a/src/Make2.mingw32
+++ b/main/Make2.mingw32
@@ -1,4 +1,4 @@
-#$Id: Make2.mingw32,v 26.81 2008/05/27 05:34:00 al Exp $ -*- Makefile -*-
+#$Id: Make2.mingw32,v 26.138 2013/04/24 03:32:53 al Exp $ -*- Makefile -*-
 # Copyright (C) 2001 Albert Davis
 # Author: Albert Davis <aldavis at gnu.org>
 #
@@ -27,7 +27,7 @@ CCC = i586-mingw32msvc-g++
 DLLTOOL = i586-mingw32msvc-dlltool
 
 CCFLAGS = \
--O2 -DNDEBUG -I.. -I.
+-O2 -DNDEBUG -I. -I../../include
 
 LIBS = \
 
diff --git a/modelgen/configure.old b/main/configure
similarity index 78%
copy from modelgen/configure.old
copy to main/configure
index 59956fb..2408157 100755
--- a/modelgen/configure.old
+++ b/main/configure
@@ -1,31 +1,7 @@
 #!/bin/sh
-mkdir O
+# configure for executable
 
-echo "CCFLAGS = \\" >Make.ccflags
-echo "LIBS = \\" >Make.libs
-
-echo "-DUNIX -O2 -DNDEBUG -I.. -I. -W" >>Make.ccflags
-echo "" >>Make.libs
-echo "" >>Make.libs
-
-cat <<CAT_EOF >Make.sys
-#------------------------------------------------------------------------
-VPATH = .:..
-CCC = g++
-
-LDFLAGS =
-
-.SUFFIXES : .o .cc
-.cc.o:; \$(CCC) \$(CCFLAGS) -c \$<
-#------------------------------------------------------------------------
-\$(TARGET): \$(OBJS)
-	rm -f \$@
-	\$(CCC) \$(CCFLAGS) \$(OBJS) -o \$@ \$(LIBS) \$(LDFLAGS)
-#------------------------------------------------------------------------
-CAT_EOF
-
-cat Make.ccflags Make.libs Make.sys >Make2
-cat Make1 Make2 Make3 Make.depend >O/Makefile
+mkdir -p O
 
 #-------------  Stuff added to enable --prefix  --------------
 if test "x$1" != "x"; then
@@ -46,8 +22,37 @@ else
     prefix=/usr/local
 fi
 
-sed -e "s#/usr/local#$prefix#" Makefile.template > Makefile
+sed -e "s#/usr/local#$prefix#" ../Makefile.template > Makefile
 
 #----------------------------------------------------------------
+echo "CCFLAGS = \\" >Make.ccflags
+echo "LIBS = \\" >Make.libs
+echo "-lgnucap \\" >>Make.libs
+
+echo "-DUNIX -O2 -DNDEBUG -I. -I../include -I../../include -W" >>Make.ccflags
+echo "" >>Make.libs
+echo "PREFIX = " $prefix >>Make.libs
+echo "" >>Make.libs
+
+cat <<CAT_EOF >Make.sys
+#------------------------------------------------------------------------
+VPATH = .:..
+CCC = g++
+TARGET_EXT =
+
+LDFLAGS = -rdynamic \
+-L../../lib/O
+
+.SUFFIXES : .o .cc
+.cc.o:; \$(CCC) \$(CCFLAGS) -c \$<
+#------------------------------------------------------------------------
+\$(TARGET): \$(OBJS)
+	rm -f \$@
+	\$(CCC) \$(CCFLAGS) \$(OBJS) -o \$@ \$(LIBS) \$(LDFLAGS)
+#------------------------------------------------------------------------
+CAT_EOF
+
+cat Make.ccflags Make.libs Make.sys >Make2
+cat Make1 Make2 ../Make3 Make.depend >O/Makefile
 
 exit 0
diff --git a/main/main.cc b/main/main.cc
index 0736fd8..2739e25 100644
--- a/main/main.cc
+++ b/main/main.cc
@@ -1,4 +1,4 @@
-/*$Id: main.cc,v 26.133 2009/11/26 04:58:04 al Exp $ -*- C++ -*-
+/*$Id: main.cc,v 26.138 2013/04/24 03:32:53 al Exp $ -*- C++ -*-
  * Copyright (C) 2001 Albert Davis
  * Author: Albert Davis <aldavis at gnu.org>
  *
@@ -23,6 +23,9 @@
  * it all starts here
  */
 //testing=script 2006.07.14
+#include "globals.h"
+#include "u_prblst.h"
+#include "u_sim_data.h"
 #include "e_cardlist.h"
 #include "u_lang.h"
 #include "ap.h"
@@ -37,33 +40,52 @@ struct JMP_BUF{
 static void sign_on(void)
 {
   IO::mstdout <<
-    "Gnucap "  PATCHLEVEL  "\n"
-    "The Gnu Circuit Analysis Package\n"
+    "Gnucap : The Gnu Circuit Analysis Package\n"
     "Never trust any version less than 1.0\n"
-    "Copyright 1982-2009, Albert Davis\n"
+    "Copyright 1982-2013, Albert Davis\n"
     "Gnucap comes with ABSOLUTELY NO WARRANTY\n"
     "This is free software, and you are welcome\n"
     "to redistribute it under the terms of \n"
     "the GNU General Public License, version 3 or later.\n"
-    "See the file \"COPYING\" for details.\n";
+    "See the file \"COPYING\" for details.\n"
+    "main version: " PATCHLEVEL "\n"
+    "core-lib version: " << lib_version() << "\n";  
 }
 /*--------------------------------------------------------------------------*/
 static void read_startup_files(void)
 {
-  std::string name = findfile(SYSTEMSTARTFILE, SYSTEMSTARTPATH, R_OK);
-  if (name != "") {untested();
-    CMD::command("get " + name, &CARD_LIST::card_list);
-  }else{
+  {
+    std::string name = findfile(SYSTEMSTARTFILE, SYSTEMSTARTPATH, R_OK);
+    if (name != "") {untested();
+      CMD::command("include " + name, &CARD_LIST::card_list);
+    }else{
+      CMD::command(std::string("load " DEFAULT_PLUGINS), &CARD_LIST::card_list);
+    }
   }
-  name = findfile(USERSTARTFILE, USERSTARTPATH, R_OK);
-  if (name != "") {untested();
-    CMD::command("get " + name, &CARD_LIST::card_list);
-  }else{
+  {
+    std::string name = findfile(USERSTARTFILE, USERSTARTPATH, R_OK);
+    if (name != "") {untested();
+      CMD::command("include " + name, &CARD_LIST::card_list);
+    }else{
+    }
   }
-  CMD::command("clear", &CARD_LIST::card_list);
+  //CMD::command("clear", &CARD_LIST::card_list);
   if (!OPT::language) {
-    CMD::command(std::string("options lang=") + DEFAULT_LANGUAGE, &CARD_LIST::card_list);
+    OPT::language = language_dispatcher[DEFAULT_LANGUAGE];
+    
+    for(DISPATCHER<LANGUAGE>::const_iterator
+	  i=language_dispatcher.begin(); !OPT::language && i!=language_dispatcher.end(); ++i) {
+      OPT::language = i->second;
+    }
+  }else{untested();
+    // already have a language specified in a startup file
+  }
+  if (OPT::language) {
+    OPT::case_insensitive = OPT::language->case_insensitive();
+    OPT::units            = OPT::language->units();
   }else{
+    OPT::case_insensitive = false;
+    OPT::units            = uSI;
   }
 }
 /*--------------------------------------------------------------------------*/
@@ -71,11 +93,12 @@ static void read_startup_files(void)
  */
 extern "C" {
   static void sig_abrt(SIGNALARGS)
-  {itested();
-    signal(SIGINT,sig_abrt);
-    static int count = 10;
-    if (--count > 0) {itested();
-      error(bDANGER, "\n");
+  {untested();
+    signal(SIGABRT,sig_abrt);
+    static int count = 100;
+    if (--count > 0 && ENV::run_mode != rBATCH) {untested();
+      IO::error << '\n';
+      siglongjmp(env.p,1);
     }else{untested();
       exit(1);
     }
@@ -88,11 +111,11 @@ extern "C" {
  */
 extern "C" {
   static void sig_int(SIGNALARGS)
-  {
+  {itested();
     signal(SIGINT,sig_int);
     if (ENV::run_mode == rBATCH) {untested();
       exit(1);
-    }else{
+    }else{itested();
       IO::error << '\n';
       siglongjmp(env.p,1);
     }
@@ -101,8 +124,7 @@ extern "C" {
 /*--------------------------------------------------------------------------*/
 extern "C" {
   static void sig_fpe(SIGNALARGS)
-  {
-    untested();
+  {untested();
     signal(SIGFPE,sig_fpe);
     error(bDANGER, "floating point error\n");
   }
@@ -112,7 +134,7 @@ static void setup_traps(void)
 {
   signal(SIGFPE,sig_fpe);
   signal(SIGINT,sig_int);
-  signal(SIGABRT,sig_abrt);
+  //signal(SIGABRT,sig_abrt);
 }
 /*--------------------------------------------------------------------------*/
 /* finish: clean up after a command
@@ -132,19 +154,25 @@ static void process_cmd_line(int argc, const char *argv[])
 {
   for (int ii = 1;  ii < argc;  /*inside*/) {
     try {
-      if (strcasecmp(argv[ii], "-i") == 0) {itested();
-	++ii;
-	if (ii < argc) {itested();
-	  CMD::command(std::string("include ") + argv[ii++], &CARD_LIST::card_list);
+      if (strncmp(argv[ii], "--", 2) == 0) {
+	if (ii < argc) {
+	  CS cmd(CS::_STRING, argv[ii++]+2); // command line
+	  CMD::cmdproc(cmd, &CARD_LIST::card_list); 
 	}else{untested();
 	}
-      }else if (strcasecmp(argv[ii], "-c") == 0) {itested();
+      }else if (strcasecmp(argv[ii], "-c") == 0) {
 	++ii;
-	if (ii < argc) {itested();
+	if (ii < argc) {
 	  CS cmd(CS::_STRING, argv[ii++]); // command line
 	  CMD::cmdproc(cmd, &CARD_LIST::card_list); 
 	}else{untested();
 	}
+      }else if (strcasecmp(argv[ii], "-i") == 0) {untested();
+	++ii;
+	if (ii < argc) {untested();
+	  CMD::command(std::string("include ") + argv[ii++], &CARD_LIST::card_list);
+	}else{untested();
+	}
       }else if (strcasecmp(argv[ii], "-b") == 0) {
 	try {
 	  ++ii;
@@ -158,19 +186,23 @@ static void process_cmd_line(int argc, const char *argv[])
 	  finish();
 	}
 	if (ii >= argc) {
-	  CMD::command("end", &CARD_LIST::card_list);
+	  //CMD::command("end", &CARD_LIST::card_list);
+	  throw Exception_Quit("");
 	}else{untested();
 	}
-      }else if (strcasecmp(argv[ii], "-a") == 0) {itested();
+      }else if (strcasecmp(argv[ii], "-a") == 0) {
 	++ii;
-	if (ii < argc) {itested();
+	if (ii < argc) {
 	  CMD::command(std::string("attach ") + argv[ii++], &CARD_LIST::card_list);
 	}else{untested();
 	}
       }else{itested();
 	CMD::command(std::string("include ") + argv[ii++], &CARD_LIST::card_list);
       }
+    }catch (Exception_Quit& e) {
+      throw;
     }catch (Exception& e) {itested();
+      // abort command, continue loop
       error(bDANGER, e.message() + '\n');
       finish();
     }
@@ -179,44 +211,52 @@ static void process_cmd_line(int argc, const char *argv[])
 /*--------------------------------------------------------------------------*/
 int main(int argc, const char *argv[])
 {
+  CKT_BASE::_sim = new SIM_DATA;
+  CKT_BASE::_probe_lists = new PROBE_LISTS;
+  try {
   {
     SET_RUN_MODE xx(rBATCH);
     sign_on();
     if (!sigsetjmp(env.p, true)) {
-      try {
+#if 0
+      try {untested();
+#endif
 	read_startup_files();
 	setup_traps();
 	process_cmd_line(argc,argv);
+#if 0
       }catch (Exception& e) {untested();
 	error(bDANGER, e.message() + '\n');
 	finish();		/* error clean up (from longjmp()) */
-	CMD::command("quit", &CARD_LIST::card_list);
+	//CMD::command("quit", &CARD_LIST::card_list);
 	unreachable();
 	exit(0);
       }
-    }else{
+#endif
+    }else{untested();
       finish();		/* error clean up (from longjmp()) */
-      CMD::command("quit", &CARD_LIST::card_list);
+      //CMD::command("quit", &CARD_LIST::card_list);
       exit(0);
     }
   }
-  {itested();
+  {
     SET_RUN_MODE xx(rINTERACTIVE);
     CS cmd(CS::_STDIN);
-    for (;;) {itested();
-      if (!sigsetjmp(env.p, true)) {itested();
+    for (;;) {
+      if (!sigsetjmp(env.p, true)) {
 	try {
 	  if (OPT::language) {
 	    OPT::language->parse_top_item(cmd, &CARD_LIST::card_list);
-	  }else{
+	  }else{untested();
 	    CMD::cmdproc(cmd.get_line(I_PROMPT), &CARD_LIST::card_list);
 	  }
-	}catch (Exception_End_Of_Input& e) {itested();
+	}catch (Exception_End_Of_Input& e) {
 	  error(bDANGER, e.message() + '\n');
 	  finish();
-	  CMD::command("quit", &CARD_LIST::card_list);
-	  exit(0);
-	}catch (Exception& e) {itested();
+	  //CMD::command("quit", &CARD_LIST::card_list);
+	  //exit(0);
+	  break;
+	}catch (Exception& e) {
 	  error(bDANGER, e.message() + '\n');
 	  finish();
 	}
@@ -225,7 +265,20 @@ int main(int argc, const char *argv[])
       }
     }
   }
-  unreachable();
+  }catch (Exception_Quit&) {
+  }catch (Exception& e) {untested();
+    error(bDANGER, e.message() + '\n');
+  }
+  
+  //CARD_LIST::card_list.erase_all();
+  CMD::command("clear", &CARD_LIST::card_list);
+  assert(CARD_LIST::card_list.is_empty());
+  CMD::command("detach_all", &CARD_LIST::card_list);
+  delete CKT_BASE::_probe_lists;
+  CKT_BASE::_probe_lists = NULL;
+  delete CKT_BASE::_sim;
+  CKT_BASE::_sim = NULL;
+  
   return 0;
 }
 /*--------------------------------------------------------------------------*/
diff --git a/missing b/missing
deleted file mode 100755
index 894e786..0000000
--- a/missing
+++ /dev/null
@@ -1,360 +0,0 @@
-#! /bin/sh
-# Common stub for a few missing GNU programs while installing.
-
-scriptversion=2005-06-08.21
-
-# Copyright (C) 1996, 1997, 1999, 2000, 2002, 2003, 2004, 2005
-#   Free Software Foundation, Inc.
-# Originally by Fran,cois Pinard <pinard at iro.umontreal.ca>, 1996.
-
-# This program is free software; you can redistribute it and/or modify
-# it under the terms of the GNU General Public License as published by
-# the Free Software Foundation; either version 2, or (at your option)
-# any later version.
-
-# This program is distributed in the hope that it will be useful,
-# but WITHOUT ANY WARRANTY; without even the implied warranty of
-# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
-# GNU General Public License for more details.
-
-# You should have received a copy of the GNU General Public License
-# along with this program; if not, write to the Free Software
-# Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
-# 02110-1301, USA.
-
-# As a special exception to the GNU General Public License, if you
-# distribute this file as part of a program that contains a
-# configuration script generated by Autoconf, you may include it under
-# the same distribution terms that you use for the rest of that program.
-
-if test $# -eq 0; then
-  echo 1>&2 "Try \`$0 --help' for more information"
-  exit 1
-fi
-
-run=:
-
-# In the cases where this matters, `missing' is being run in the
-# srcdir already.
-if test -f configure.ac; then
-  configure_ac=configure.ac
-else
-  configure_ac=configure.in
-fi
-
-msg="missing on your system"
-
-case "$1" in
---run)
-  # Try to run requested program, and just exit if it succeeds.
-  run=
-  shift
-  "$@" && exit 0
-  # Exit code 63 means version mismatch.  This often happens
-  # when the user try to use an ancient version of a tool on
-  # a file that requires a minimum version.  In this case we
-  # we should proceed has if the program had been absent, or
-  # if --run hadn't been passed.
-  if test $? = 63; then
-    run=:
-    msg="probably too old"
-  fi
-  ;;
-
-  -h|--h|--he|--hel|--help)
-    echo "\
-$0 [OPTION]... PROGRAM [ARGUMENT]...
-
-Handle \`PROGRAM [ARGUMENT]...' for when PROGRAM is missing, or return an
-error status if there is no known handling for PROGRAM.
-
-Options:
-  -h, --help      display this help and exit
-  -v, --version   output version information and exit
-  --run           try to run the given command, and emulate it if it fails
-
-Supported PROGRAM values:
-  aclocal      touch file \`aclocal.m4'
-  autoconf     touch file \`configure'
-  autoheader   touch file \`config.h.in'
-  automake     touch all \`Makefile.in' files
-  bison        create \`y.tab.[ch]', if possible, from existing .[ch]
-  flex         create \`lex.yy.c', if possible, from existing .c
-  help2man     touch the output file
-  lex          create \`lex.yy.c', if possible, from existing .c
-  makeinfo     touch the output file
-  tar          try tar, gnutar, gtar, then tar without non-portable flags
-  yacc         create \`y.tab.[ch]', if possible, from existing .[ch]
-
-Send bug reports to <bug-automake at gnu.org>."
-    exit $?
-    ;;
-
-  -v|--v|--ve|--ver|--vers|--versi|--versio|--version)
-    echo "missing $scriptversion (GNU Automake)"
-    exit $?
-    ;;
-
-  -*)
-    echo 1>&2 "$0: Unknown \`$1' option"
-    echo 1>&2 "Try \`$0 --help' for more information"
-    exit 1
-    ;;
-
-esac
-
-# Now exit if we have it, but it failed.  Also exit now if we
-# don't have it and --version was passed (most likely to detect
-# the program).
-case "$1" in
-  lex|yacc)
-    # Not GNU programs, they don't have --version.
-    ;;
-
-  tar)
-    if test -n "$run"; then
-       echo 1>&2 "ERROR: \`tar' requires --run"
-       exit 1
-    elif test "x$2" = "x--version" || test "x$2" = "x--help"; then
-       exit 1
-    fi
-    ;;
-
-  *)
-    if test -z "$run" && ($1 --version) > /dev/null 2>&1; then
-       # We have it, but it failed.
-       exit 1
-    elif test "x$2" = "x--version" || test "x$2" = "x--help"; then
-       # Could not run --version or --help.  This is probably someone
-       # running `$TOOL --version' or `$TOOL --help' to check whether
-       # $TOOL exists and not knowing $TOOL uses missing.
-       exit 1
-    fi
-    ;;
-esac
-
-# If it does not exist, or fails to run (possibly an outdated version),
-# try to emulate it.
-case "$1" in
-  aclocal*)
-    echo 1>&2 "\
-WARNING: \`$1' is $msg.  You should only need it if
-         you modified \`acinclude.m4' or \`${configure_ac}'.  You might want
-         to install the \`Automake' and \`Perl' packages.  Grab them from
-         any GNU archive site."
-    touch aclocal.m4
-    ;;
-
-  autoconf)
-    echo 1>&2 "\
-WARNING: \`$1' is $msg.  You should only need it if
-         you modified \`${configure_ac}'.  You might want to install the
-         \`Autoconf' and \`GNU m4' packages.  Grab them from any GNU
-         archive site."
-    touch configure
-    ;;
-
-  autoheader)
-    echo 1>&2 "\
-WARNING: \`$1' is $msg.  You should only need it if
-         you modified \`acconfig.h' or \`${configure_ac}'.  You might want
-         to install the \`Autoconf' and \`GNU m4' packages.  Grab them
-         from any GNU archive site."
-    files=`sed -n 's/^[ ]*A[CM]_CONFIG_HEADER(\([^)]*\)).*/\1/p' ${configure_ac}`
-    test -z "$files" && files="config.h"
-    touch_files=
-    for f in $files; do
-      case "$f" in
-      *:*) touch_files="$touch_files "`echo "$f" |
-				       sed -e 's/^[^:]*://' -e 's/:.*//'`;;
-      *) touch_files="$touch_files $f.in";;
-      esac
-    done
-    touch $touch_files
-    ;;
-
-  automake*)
-    echo 1>&2 "\
-WARNING: \`$1' is $msg.  You should only need it if
-         you modified \`Makefile.am', \`acinclude.m4' or \`${configure_ac}'.
-         You might want to install the \`Automake' and \`Perl' packages.
-         Grab them from any GNU archive site."
-    find . -type f -name Makefile.am -print |
-	   sed 's/\.am$/.in/' |
-	   while read f; do touch "$f"; done
-    ;;
-
-  autom4te)
-    echo 1>&2 "\
-WARNING: \`$1' is needed, but is $msg.
-         You might have modified some files without having the
-         proper tools for further handling them.
-         You can get \`$1' as part of \`Autoconf' from any GNU
-         archive site."
-
-    file=`echo "$*" | sed -n 's/.*--output[ =]*\([^ ]*\).*/\1/p'`
-    test -z "$file" && file=`echo "$*" | sed -n 's/.*-o[ ]*\([^ ]*\).*/\1/p'`
-    if test -f "$file"; then
-	touch $file
-    else
-	test -z "$file" || exec >$file
-	echo "#! /bin/sh"
-	echo "# Created by GNU Automake missing as a replacement of"
-	echo "#  $ $@"
-	echo "exit 0"
-	chmod +x $file
-	exit 1
-    fi
-    ;;
-
-  bison|yacc)
-    echo 1>&2 "\
-WARNING: \`$1' $msg.  You should only need it if
-         you modified a \`.y' file.  You may need the \`Bison' package
-         in order for those modifications to take effect.  You can get
-         \`Bison' from any GNU archive site."
-    rm -f y.tab.c y.tab.h
-    if [ $# -ne 1 ]; then
-        eval LASTARG="\${$#}"
-	case "$LASTARG" in
-	*.y)
-	    SRCFILE=`echo "$LASTARG" | sed 's/y$/c/'`
-	    if [ -f "$SRCFILE" ]; then
-	         cp "$SRCFILE" y.tab.c
-	    fi
-	    SRCFILE=`echo "$LASTARG" | sed 's/y$/h/'`
-	    if [ -f "$SRCFILE" ]; then
-	         cp "$SRCFILE" y.tab.h
-	    fi
-	  ;;
-	esac
-    fi
-    if [ ! -f y.tab.h ]; then
-	echo >y.tab.h
-    fi
-    if [ ! -f y.tab.c ]; then
-	echo 'main() { return 0; }' >y.tab.c
-    fi
-    ;;
-
-  lex|flex)
-    echo 1>&2 "\
-WARNING: \`$1' is $msg.  You should only need it if
-         you modified a \`.l' file.  You may need the \`Flex' package
-         in order for those modifications to take effect.  You can get
-         \`Flex' from any GNU archive site."
-    rm -f lex.yy.c
-    if [ $# -ne 1 ]; then
-        eval LASTARG="\${$#}"
-	case "$LASTARG" in
-	*.l)
-	    SRCFILE=`echo "$LASTARG" | sed 's/l$/c/'`
-	    if [ -f "$SRCFILE" ]; then
-	         cp "$SRCFILE" lex.yy.c
-	    fi
-	  ;;
-	esac
-    fi
-    if [ ! -f lex.yy.c ]; then
-	echo 'main() { return 0; }' >lex.yy.c
-    fi
-    ;;
-
-  help2man)
-    echo 1>&2 "\
-WARNING: \`$1' is $msg.  You should only need it if
-	 you modified a dependency of a manual page.  You may need the
-	 \`Help2man' package in order for those modifications to take
-	 effect.  You can get \`Help2man' from any GNU archive site."
-
-    file=`echo "$*" | sed -n 's/.*-o \([^ ]*\).*/\1/p'`
-    if test -z "$file"; then
-	file=`echo "$*" | sed -n 's/.*--output=\([^ ]*\).*/\1/p'`
-    fi
-    if [ -f "$file" ]; then
-	touch $file
-    else
-	test -z "$file" || exec >$file
-	echo ".ab help2man is required to generate this page"
-	exit 1
-    fi
-    ;;
-
-  makeinfo)
-    echo 1>&2 "\
-WARNING: \`$1' is $msg.  You should only need it if
-         you modified a \`.texi' or \`.texinfo' file, or any other file
-         indirectly affecting the aspect of the manual.  The spurious
-         call might also be the consequence of using a buggy \`make' (AIX,
-         DU, IRIX).  You might want to install the \`Texinfo' package or
-         the \`GNU make' package.  Grab either from any GNU archive site."
-    # The file to touch is that specified with -o ...
-    file=`echo "$*" | sed -n 's/.*-o \([^ ]*\).*/\1/p'`
-    if test -z "$file"; then
-      # ... or it is the one specified with @setfilename ...
-      infile=`echo "$*" | sed 's/.* \([^ ]*\) *$/\1/'`
-      file=`sed -n '/^@setfilename/ { s/.* \([^ ]*\) *$/\1/; p; q; }' $infile`
-      # ... or it is derived from the source name (dir/f.texi becomes f.info)
-      test -z "$file" && file=`echo "$infile" | sed 's,.*/,,;s,.[^.]*$,,'`.info
-    fi
-    # If the file does not exist, the user really needs makeinfo;
-    # let's fail without touching anything.
-    test -f $file || exit 1
-    touch $file
-    ;;
-
-  tar)
-    shift
-
-    # We have already tried tar in the generic part.
-    # Look for gnutar/gtar before invocation to avoid ugly error
-    # messages.
-    if (gnutar --version > /dev/null 2>&1); then
-       gnutar "$@" && exit 0
-    fi
-    if (gtar --version > /dev/null 2>&1); then
-       gtar "$@" && exit 0
-    fi
-    firstarg="$1"
-    if shift; then
-	case "$firstarg" in
-	*o*)
-	    firstarg=`echo "$firstarg" | sed s/o//`
-	    tar "$firstarg" "$@" && exit 0
-	    ;;
-	esac
-	case "$firstarg" in
-	*h*)
-	    firstarg=`echo "$firstarg" | sed s/h//`
-	    tar "$firstarg" "$@" && exit 0
-	    ;;
-	esac
-    fi
-
-    echo 1>&2 "\
-WARNING: I can't seem to be able to run \`tar' with the given arguments.
-         You may want to install GNU tar or Free paxutils, or check the
-         command line arguments."
-    exit 1
-    ;;
-
-  *)
-    echo 1>&2 "\
-WARNING: \`$1' is needed, and is $msg.
-         You might have modified some files without having the
-         proper tools for further handling them.  Check the \`README' file,
-         it often tells you about the needed prerequisites for installing
-         this package.  You may also peek at any GNU archive site, in case
-         some other package would contain this missing \`$1' program."
-    exit 1
-    ;;
-esac
-
-exit 0
-
-# Local variables:
-# eval: (add-hook 'write-file-hooks 'time-stamp)
-# time-stamp-start: "scriptversion="
-# time-stamp-format: "%:y-%02m-%02d.%02H"
-# time-stamp-end: "$"
-# End:
diff --git a/modelgen/Make.depend b/modelgen/Make.depend
index b872ffb..fe1316c 100644
--- a/modelgen/Make.depend
+++ b/modelgen/Make.depend
@@ -1,31 +1,27 @@
-mg_main.o: mg_main.cc u_opt.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h mg_out.h mg_.h ap.h patchlev.h
-mg_error.o: mg_error.cc ap.h md.h io_trace.h io_error.h
-mg_in.o: mg_in.cc mg_.h md.h io_trace.h io_error.h ap.h
-mg_out_h.o: mg_out_h.cc mg_out.h mg_.h md.h io_trace.h io_error.h ap.h
-mg_out_dump.o: mg_out_dump.cc md.h io_trace.h io_error.h mg_out.h mg_.h \
- ap.h
-mg_out_common.o: mg_out_common.cc mg_out.h mg_.h md.h io_trace.h \
- io_error.h ap.h
-mg_out_dev.o: mg_out_dev.cc mg_out.h mg_.h md.h io_trace.h io_error.h \
- ap.h
-mg_out_lib.o: mg_out_lib.cc mg_out.h mg_.h md.h io_trace.h io_error.h \
- ap.h
-mg_out_model.o: mg_out_model.cc mg_out.h mg_.h md.h io_trace.h io_error.h \
- ap.h
-mg_out_root.o: mg_out_root.cc md.h io_trace.h io_error.h mg_out.h mg_.h \
- ap.h
-ap_construct.o: ap_construct.cc u_opt.h mode.h io_.h l_lib.h md.h \
- io_trace.h io_error.h ap.h
-ap_convert.o: ap_convert.cc u_opt.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h ap.h
-ap_get.o: ap_get.cc ap.h md.h io_trace.h io_error.h
-ap_match.o: ap_match.cc u_opt.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h ap.h
-ap_skip.o: ap_skip.cc ap.h md.h io_trace.h io_error.h
-l_trim.o: l_trim.cc
-u_opt1.o: u_opt1.cc u_opt.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h
-io_out.o: io_out.cc u_opt.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h
-io.o: io.cc io_.h l_lib.h md.h io_trace.h io_error.h
+mg_main.o: mg_main.cc ../include/u_opt.h ../include/mode.h \
+ ../include/io_.h ../include/l_lib.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h mg_out.h mg_.h \
+ ../include/md.h ../include/ap.h ../include/patchlev.h
+mg_error.o: mg_error.cc ../include/ap.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h
+mg_in.o: mg_in.cc mg_.h ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h ../include/ap.h ../include/md.h
+mg_out_h.o: mg_out_h.cc mg_out.h mg_.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/ap.h \
+ ../include/md.h
+mg_out_dump.o: mg_out_dump.cc ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h mg_out.h mg_.h ../include/ap.h ../include/md.h
+mg_out_common.o: mg_out_common.cc mg_out.h mg_.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/ap.h \
+ ../include/md.h
+mg_out_dev.o: mg_out_dev.cc mg_out.h mg_.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/ap.h \
+ ../include/md.h
+mg_out_lib.o: mg_out_lib.cc mg_out.h mg_.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/ap.h \
+ ../include/md.h
+mg_out_model.o: mg_out_model.cc mg_out.h mg_.h ../include/md.h \
+ ../include/io_trace.h ../include/io_error.h ../include/ap.h \
+ ../include/md.h
+mg_out_root.o: mg_out_root.cc ../include/md.h ../include/io_trace.h \
+ ../include/io_error.h mg_out.h mg_.h ../include/ap.h ../include/md.h
diff --git a/modelgen/Make1 b/modelgen/Make1
index 0f66e9a..1d99806 100644
--- a/modelgen/Make1
+++ b/modelgen/Make1
@@ -1,4 +1,4 @@
-#$Id: Make1,v 26.81 2008/05/27 05:33:43 al Exp $ -*- Makefile -*-
+#$Id: Make1,v 26.138 2013/04/24 03:21:58 al Exp $ -*- Makefile -*-
 #
 # Copyright (C) 2001 Albert Davis
 # Author: Albert Davis <aldavis at gnu.org>
@@ -22,29 +22,27 @@
 #------------------------------------------------------------------------
 TARGET = gnucap-modelgen
 #------------------------------------------------------------------------
-DELETED =
+INSTALL_FILES = $(TARGET)$(TARGET_EXT)
+#------------------------------------------------------------------------
+INSTALL_DIR = $(PREFIX)/bin
 #------------------------------------------------------------------------
+DELETED =
 #------------------------------------------------------------------------
 RAW_SRCS = \
 mg_main.cc mg_error.cc mg_in.cc mg_out_h.cc mg_out_dump.cc \
 mg_out_common.cc mg_out_dev.cc mg_out_lib.cc mg_out_model.cc mg_out_root.cc
 #------------------------------------------------------------------------
-RAW_HDRS = mg_.h mg_out.h declare.h
+RAW_HDRS = mg_.h mg_out.h
 #------------------------------------------------------------------------
-RAW_OTHER = \
-configure.old Make1 \
-Makefile.am
+RAW_OTHER = Make1 Make2.g++ Make2.Debug Make2.mingw32 configure
 #------------------------------------------------------------------------
 RAW = $(RAW_HDRS) $(RAW_SRCS) $(RAW_OTHER)
 #------------------------------------------------------------------------
 #------------------------------------------------------------------------
-IMPORTED_SRCS = \
-ap_construct.cc ap_convert.cc ap_get.cc ap_match.cc ap_skip.cc \
-l_trim.cc u_opt1.cc io_out.cc io.cc
-IMPORTED_HDRS = \
-ap.h io_.h io_error.h io_trace.h l_lib.h md.h patchlev.h u_opt.h mode.h
-IMPORTED_OTHER = Makefile.in \
-Make2.g++ Make2.Debug Make2.mingw32 Make3 Makefile.template
+IMPORTED_SRCS =
+IMPORTED_HDRS =
+NOT_IMPORTED_HDRS =
+IMPORTED_OTHER =
 IMPORTED = $(IMPORTED_SRCS) $(IMPORTED_HDRS) $(IMPORTED_OTHER)
 #------------------------------------------------------------------------
 #------------------------------------------------------------------------
@@ -59,7 +57,7 @@ IMPORTED_DIST = $(IMPORTED)
 DISTFILES = $(RAW) $(GENERATED_DIST) $(IMPORTED_DIST)
 #------------------------------------------------------------------------
 #------------------------------------------------------------------------
-SRCS = $(RAW_SRCS) $(GENERATED_SRCS) $(IMPORTED_SRCS)
+SRCS = $(RAW_SRCS) $(GENERATED_SRCS)
 HDRS = $(RAW_HDRS) $(GENERATED_HDRS) $(IMPORTED_HDRS)
 OBJS = ${SRCS:.cc=.o}
 TARGET_DEPENDS = $(OBJS) $(RAW)
diff --git a/modelgen/Make2.Debug b/modelgen/Make2.Debug
index 660220a..d3477fe 100644
--- a/modelgen/Make2.Debug
+++ b/modelgen/Make2.Debug
@@ -1,4 +1,4 @@
-#$Id: Make2.Debug,v 26.97 2008/10/11 03:13:53 al Exp $ -*- Makefile -*-
+#$Id: Make2.Debug,v 26.138 2013/04/24 03:21:58 al Exp $ -*- Makefile -*-
 # Copyright (C) 2001 Albert Davis
 # Author: Albert Davis <aldavis at gnu.org>
 #
@@ -21,14 +21,14 @@
 #------------------------------------------------------------------------
 VPATH = .:..
 
-# Standard base for g++, debugging, a little optimization
+# Standard base for g++, debugging, no optimization
 # Running the program will give a spew of line markers for debugging.
 
 CCC = g++
 
 CCFLAGS = \
 -DHAVE_LIBREADLINE \
--DUNIX  -g -O0 -I. -I.. -DTRACE_UNTESTED \
+-DUNIX  -g -O0 -I. -I../../include -DTRACE_UNTESTED \
 -Wall -Wextra \
 -Wswitch-enum -Wundef -Wpointer-arith -Woverloaded-virtual \
 -Wcast-qual -Wcast-align -Wpacked -Wshadow -Wconversion \
@@ -38,9 +38,11 @@ CCFLAGS = \
 #last line not in 4.1
 
 LIBS = \
--lreadline -ltermcap \
+-lgnucap \
+-lreadline -ltermcap 
 
-LDFLAGS = -rdynamic
+LDFLAGS = -rdynamic  \
+-L../../lib/O-DEBUG
 
 %.SUFFIXES:
 .SUFFIXES: .o .cc
@@ -48,7 +50,7 @@ LDFLAGS = -rdynamic
 #------------------------------------------------------------------------
 $(TARGET): $(TARGET_DEPENDS)
 	rm -f $@
-	$(CCC) $(CCFLAGS) $(OBJS) $(LIBS) $(LDFLAGS) -o $@ 
+	$(CCC)  $(CCFLAGS) $(OBJS) $(LIBS) $(LDFLAGS) -o $@
 #------------------------------------------------------------
 # warnings turned off, because they warn of nothing wrong
 # 4.3
diff --git a/modelgen/Make2.g++ b/modelgen/Make2.g++
index b25e6df..0eff15b 100644
--- a/modelgen/Make2.g++
+++ b/modelgen/Make2.g++
@@ -1,4 +1,4 @@
-#$Id: Make2.g++,v 26.134 2009/11/29 03:47:06 al Exp $ -*- Makefile -*-
+#$Id: Make2.g++,v 26.138 2013/04/24 03:21:58 al Exp $ -*- Makefile -*-
 # Copyright (C) 2001 Albert Davis
 # Author: Albert Davis <aldavis at gnu.org>
 #
@@ -27,13 +27,14 @@ CCC = g++
 
 CCFLAGS = \
 -DHAVE_LIBREADLINE \
--DUNIX -O3 -DNDEBUG -I.. -I. -W
+-DUNIX -O3 -DNDEBUG -I. -I../include -I../../include -W
 
 LIBS = \
--lreadline -ltermcap \
+-lgnucap \
+-lreadline -ltermcap 
 
-
-LDFLAGS = -rdynamic
+LDFLAGS = -rdynamic \
+-L../../lib/O
 
 .SUFFIXES:
 .SUFFIXES: .o .cc
diff --git a/modelgen/Make2.mingw32 b/modelgen/Make2.mingw32
index 3733fec..f35ab2c 100644
--- a/modelgen/Make2.mingw32
+++ b/modelgen/Make2.mingw32
@@ -1,12 +1,12 @@
-#$Id: Make2.mingw32,v 26.81 2008/05/27 05:34:00 al Exp $ -*- Makefile -*-
+#$Id: Make2.mingw32,v 25.94 2006/08/08 03:20:04 al Exp $ -*- Makefile -*-
 # Copyright (C) 2001 Albert Davis
-# Author: Albert Davis <aldavis at gnu.org>
+# Author: Albert Davis <aldavis at ieee.org>
 #
 # This file is part of "Gnucap", the Gnu Circuit Analysis Package
 #
 # This program is free software; you can redistribute it and/or modify
 # it under the terms of the GNU General Public License as published by
-# the Free Software Foundation; either version 3, or (at your option)
+# the Free Software Foundation; either version 2, or (at your option)
 # any later version.
 #
 # This program is distributed in the hope that it will be useful,
@@ -24,29 +24,21 @@ VPATH = .:..
 # Standard base for g++.
 
 CCC = i586-mingw32msvc-g++
-DLLTOOL = i586-mingw32msvc-dlltool
 
 CCFLAGS = \
--O2 -DNDEBUG -I.. -I.
+-O2 -DNDEBUG -I.. -I. -fexceptions -W
 
 LIBS = \
 
 
 LDFLAGS =
 
-.SUFFIXES:
-.SUFFIXES: .o .cc
+.SUFFIXES : .o .cc
 .cc.o:; $(CCC) $(CCFLAGS) -c $<
 #------------------------------------------------------------------------
-$(TARGET): $(TARGET).exe lib$(TARGET).a
-#------------------------------------------------------------------------
-$(TARGET).exe: $(TARGET_DEPENDS)
-	rm -f $@
-	$(CCC) $(CCFLAGS) $(OBJS) $(LIBS) $(LDFLAGS) -o $@ 
-#------------------------------------------------------------------------
-lib$(TARGET).a: $(TARGET_DEPENDS) $(TARGET).exe
-	rm -f $@
-	$(DLLTOOL) -l $@ -D $(TARGET).exe $(OBJS) $(LIBS)
+$(TARGET): $(OBJS)
+	-rm $@ >/dev/null 2>&1
+	$(CCC) $(CCFLAGS) $(OBJS) -o $@ $(LIBS) $(LDFLAGS)
 #------------------------------------------------------------
 # If you are porting and using g++, you should use this file as a start,
 #	for a "release" version, optimized with run-time tracing removed.
@@ -58,6 +50,6 @@ lib$(TARGET).a: $(TARGET_DEPENDS) $(TARGET).exe
 # If the port is non-trivial, check with me first because someone else
 #	may have already done it.
 # If it works without changes, send me a note so I can put it in the docs.
-# Please send the changes to aldavis at gnu.org
+# Please send the changes to aldavis at ieee.org
 #------------------------------------------------------------
 #------------------------------------------------------------
diff --git a/modelgen/Makefile.in b/modelgen/Makefile.in
deleted file mode 100644
index ce4f056..0000000
--- a/modelgen/Makefile.in
+++ /dev/null
@@ -1,517 +0,0 @@
-# Makefile.in generated by automake 1.11 from Makefile.am.
-# @configure_input@
-
-# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
-# 2003, 2004, 2005, 2006, 2007, 2008, 2009  Free Software Foundation,
-# Inc.
-# This Makefile.in is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# This program is distributed in the hope that it will be useful,
-# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
-# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
-# PARTICULAR PURPOSE.
-
- at SET_MAKE@
-
-# -*- Automake -*-
-#
-# $Id: Makefile.am,v 26.70 2008/02/05 02:03:56 al Exp $
-#
-# Process this file with autoconf to produce a configure script.
-#
-#
-#                            COPYRIGHT
-#
-# This file is part of "Gnucap", the Gnu Circuit Analysis Package
-#
-#  Copyright (C) 2005 Dan McMahill
-#
-#  This program is free software; you can redistribute it and/or modify
-#  it under the terms of the GNU General Public License as published by
-#  the Free Software Foundation; either version 3 of the License, or
-#  (at your option) any later version.
-#
-#  This program is distributed in the hope that it will be useful,
-#  but WITHOUT ANY WARRANTY; without even the implied warranty of
-#  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
-#  GNU General Public License for more details.
-#
-#  You should have received a copy of the GNU General Public License
-#  along with this program; if not, write to the Free Software
-#  Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA.
-
-VPATH = @srcdir@
-pkgdatadir = $(datadir)/@PACKAGE@
-pkgincludedir = $(includedir)/@PACKAGE@
-pkglibdir = $(libdir)/@PACKAGE@
-pkglibexecdir = $(libexecdir)/@PACKAGE@
-am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
-install_sh_DATA = $(install_sh) -c -m 644
-install_sh_PROGRAM = $(install_sh) -c
-install_sh_SCRIPT = $(install_sh) -c
-INSTALL_HEADER = $(INSTALL_DATA)
-transform = $(program_transform_name)
-NORMAL_INSTALL = :
-PRE_INSTALL = :
-POST_INSTALL = :
-NORMAL_UNINSTALL = :
-PRE_UNINSTALL = :
-POST_UNINSTALL = :
-bin_PROGRAMS = gnucap-modelgen$(EXEEXT)
-subdir = modelgen
-DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
-ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
-am__aclocal_m4_deps = $(top_srcdir)/configure.ac
-am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
-	$(ACLOCAL_M4)
-mkinstalldirs = $(install_sh) -d
-CONFIG_HEADER = $(top_builddir)/config.h
-CONFIG_CLEAN_FILES =
-CONFIG_CLEAN_VPATH_FILES =
-am__installdirs = "$(DESTDIR)$(bindir)"
-PROGRAMS = $(bin_PROGRAMS)
-am_gnucap_modelgen_OBJECTS = ap_construct.$(OBJEXT) \
-	ap_convert.$(OBJEXT) ap_get.$(OBJEXT) ap_match.$(OBJEXT) \
-	ap_skip.$(OBJEXT) l_trim.$(OBJEXT) u_opt1.$(OBJEXT) \
-	io_out.$(OBJEXT) io.$(OBJEXT) mg_main.$(OBJEXT) \
-	mg_error.$(OBJEXT) mg_in.$(OBJEXT) mg_out_h.$(OBJEXT) \
-	mg_out_dump.$(OBJEXT) mg_out_common.$(OBJEXT) \
-	mg_out_dev.$(OBJEXT) mg_out_lib.$(OBJEXT) \
-	mg_out_model.$(OBJEXT) mg_out_root.$(OBJEXT)
-gnucap_modelgen_OBJECTS = $(am_gnucap_modelgen_OBJECTS)
-gnucap_modelgen_LDADD = $(LDADD)
-DEFAULT_INCLUDES = -I. at am__isrc@ -I$(top_builddir)
-depcomp = $(SHELL) $(top_srcdir)/depcomp
-am__depfiles_maybe = depfiles
-am__mv = mv -f
-CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
-	$(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
-CXXLD = $(CXX)
-CXXLINK = $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) $(LDFLAGS) \
-	-o $@
-COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
-	$(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
-CCLD = $(CC)
-LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
-SOURCES = $(gnucap_modelgen_SOURCES)
-DIST_SOURCES = $(gnucap_modelgen_SOURCES)
-ETAGS = etags
-CTAGS = ctags
-DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
-ACLOCAL = @ACLOCAL@
-AMTAR = @AMTAR@
-AUTOCONF = @AUTOCONF@
-AUTOHEADER = @AUTOHEADER@
-AUTOMAKE = @AUTOMAKE@
-AWK = @AWK@
-CPPFLAGS = @CPPFLAGS@
-CXX = @CXX@
-CXXDEPMODE = @CXXDEPMODE@
-CXXFLAGS = @CXXFLAGS@
-CYGPATH_W = @CYGPATH_W@
-DEFS = @DEFS@
-DEPDIR = @DEPDIR@
-ECHO_C = @ECHO_C@
-ECHO_N = @ECHO_N@
-ECHO_T = @ECHO_T@
-EXEEXT = @EXEEXT@
-INSTALL = @INSTALL@
-INSTALL_DATA = @INSTALL_DATA@
-INSTALL_PROGRAM = @INSTALL_PROGRAM@
-INSTALL_SCRIPT = @INSTALL_SCRIPT@
-INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
-LDFLAGS = @LDFLAGS@
-LIBOBJS = @LIBOBJS@
-LIBS = @LIBS@
-LTLIBOBJS = @LTLIBOBJS@
-MAINT = @MAINT@
-MAKEINFO = @MAKEINFO@
-MKDIR_P = @MKDIR_P@
-MODELGEN = @MODELGEN@
-OBJEXT = @OBJEXT@
-PACKAGE = @PACKAGE@
-PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
-PACKAGE_NAME = @PACKAGE_NAME@
-PACKAGE_STRING = @PACKAGE_STRING@
-PACKAGE_TARNAME = @PACKAGE_TARNAME@
-PACKAGE_URL = @PACKAGE_URL@
-PACKAGE_VERSION = @PACKAGE_VERSION@
-PATH_SEPARATOR = @PATH_SEPARATOR@
-SET_MAKE = @SET_MAKE@
-SHELL = @SHELL@
-STRIP = @STRIP@
-VERSION = @VERSION@
-abs_builddir = @abs_builddir@
-abs_srcdir = @abs_srcdir@
-abs_top_builddir = @abs_top_builddir@
-abs_top_srcdir = @abs_top_srcdir@
-ac_ct_CXX = @ac_ct_CXX@
-am__include = @am__include@
-am__leading_dot = @am__leading_dot@
-am__quote = @am__quote@
-am__tar = @am__tar@
-am__untar = @am__untar@
-bindir = @bindir@
-build_alias = @build_alias@
-builddir = @builddir@
-datadir = @datadir@
-datarootdir = @datarootdir@
-docdir = @docdir@
-dvidir = @dvidir@
-exec_prefix = @exec_prefix@
-host_alias = @host_alias@
-htmldir = @htmldir@
-includedir = @includedir@
-infodir = @infodir@
-install_sh = @install_sh@
-libdir = @libdir@
-libexecdir = @libexecdir@
-localedir = @localedir@
-localstatedir = @localstatedir@
-mandir = @mandir@
-mkdir_p = @mkdir_p@
-oldincludedir = @oldincludedir@
-pdfdir = @pdfdir@
-prefix = @prefix@
-program_transform_name = @program_transform_name@
-psdir = @psdir@
-sbindir = @sbindir@
-sharedstatedir = @sharedstatedir@
-srcdir = @srcdir@
-sysconfdir = @sysconfdir@
-target_alias = @target_alias@
-top_build_prefix = @top_build_prefix@
-top_builddir = @top_builddir@
-top_srcdir = @top_srcdir@
-gnucap_modelgen_SOURCES = \
-ap_construct.cc ap_convert.cc ap_get.cc ap_match.cc ap_skip.cc \
-l_trim.cc u_opt1.cc io_out.cc io.cc \
-ap.h io_.h io_error.h io_trace.h l_lib.h md.h patchlev.h u_opt.h mode.h \
-mg_main.cc mg_error.cc mg_in.cc mg_out_h.cc mg_out_dump.cc \
-mg_out_common.cc mg_out_dev.cc mg_out_lib.cc mg_out_model.cc mg_out_root.cc \
-mg_.h mg_out.h declare.h
-
-EXTRA_DIST = \
- Make1 Make3 Make.depend Make2.g++ Make2.Debug Make2.mingw32 \
- configure.old Makefile.template
-
-INCLUDES = -I$(top_srcdir)/src
-all: all-am
-
-.SUFFIXES:
-.SUFFIXES: .cc .o .obj
-$(srcdir)/Makefile.in: @MAINTAINER_MODE_TRUE@ $(srcdir)/Makefile.am  $(am__configure_deps)
-	@for dep in $?; do \
-	  case '$(am__configure_deps)' in \
-	    *$$dep*) \
-	      ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
-	        && { if test -f $@; then exit 0; else break; fi; }; \
-	      exit 1;; \
-	  esac; \
-	done; \
-	echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu modelgen/Makefile'; \
-	$(am__cd) $(top_srcdir) && \
-	  $(AUTOMAKE) --gnu modelgen/Makefile
-.PRECIOUS: Makefile
-Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
-	@case '$?' in \
-	  *config.status*) \
-	    cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
-	  *) \
-	    echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
-	    cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
-	esac;
-
-$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
-	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
-
-$(top_srcdir)/configure: @MAINTAINER_MODE_TRUE@ $(am__configure_deps)
-	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
-$(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
-	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
-$(am__aclocal_m4_deps):
-install-binPROGRAMS: $(bin_PROGRAMS)
-	@$(NORMAL_INSTALL)
-	test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
-	@list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
-	for p in $$list; do echo "$$p $$p"; done | \
-	sed 's/$(EXEEXT)$$//' | \
-	while read p p1; do if test -f $$p; \
-	  then echo "$$p"; echo "$$p"; else :; fi; \
-	done | \
-	sed -e 'p;s,.*/,,;n;h' -e 's|.*|.|' \
-	    -e 'p;x;s,.*/,,;s/$(EXEEXT)$$//;$(transform);s/$$/$(EXEEXT)/' | \
-	sed 'N;N;N;s,\n, ,g' | \
-	$(AWK) 'BEGIN { files["."] = ""; dirs["."] = 1 } \
-	  { d=$$3; if (dirs[d] != 1) { print "d", d; dirs[d] = 1 } \
-	    if ($$2 == $$4) files[d] = files[d] " " $$1; \
-	    else { print "f", $$3 "/" $$4, $$1; } } \
-	  END { for (d in files) print "f", d, files[d] }' | \
-	while read type dir files; do \
-	    if test "$$dir" = .; then dir=; else dir=/$$dir; fi; \
-	    test -z "$$files" || { \
-	      echo " $(INSTALL_PROGRAM_ENV) $(INSTALL_PROGRAM) $$files '$(DESTDIR)$(bindir)$$dir'"; \
-	      $(INSTALL_PROGRAM_ENV) $(INSTALL_PROGRAM) $$files "$(DESTDIR)$(bindir)$$dir" || exit $$?; \
-	    } \
-	; done
-
-uninstall-binPROGRAMS:
-	@$(NORMAL_UNINSTALL)
-	@list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
-	files=`for p in $$list; do echo "$$p"; done | \
-	  sed -e 'h;s,^.*/,,;s/$(EXEEXT)$$//;$(transform)' \
-	      -e 's/$$/$(EXEEXT)/' `; \
-	test -n "$$list" || exit 0; \
-	echo " ( cd '$(DESTDIR)$(bindir)' && rm -f" $$files ")"; \
-	cd "$(DESTDIR)$(bindir)" && rm -f $$files
-
-clean-binPROGRAMS:
-	-test -z "$(bin_PROGRAMS)" || rm -f $(bin_PROGRAMS)
-gnucap-modelgen$(EXEEXT): $(gnucap_modelgen_OBJECTS) $(gnucap_modelgen_DEPENDENCIES) 
-	@rm -f gnucap-modelgen$(EXEEXT)
-	$(CXXLINK) $(gnucap_modelgen_OBJECTS) $(gnucap_modelgen_LDADD) $(LIBS)
-
-mostlyclean-compile:
-	-rm -f *.$(OBJEXT)
-
-distclean-compile:
-	-rm -f *.tab.c
-
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/ap_construct.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/ap_convert.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/ap_get.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/ap_match.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/ap_skip.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/io.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/io_out.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/l_trim.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/mg_error.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/mg_in.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/mg_main.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/mg_out_common.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/mg_out_dev.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/mg_out_dump.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/mg_out_h.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/mg_out_lib.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/mg_out_model.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/mg_out_root.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/u_opt1.Po at am__quote@
-
-.cc.o:
- at am__fastdepCXX_TRUE@	$(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
- at am__fastdepCXX_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
- at AMDEP_TRUE@@am__fastdepCXX_FALSE@	source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
- at AMDEP_TRUE@@am__fastdepCXX_FALSE@	DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
- at am__fastdepCXX_FALSE@	$(CXXCOMPILE) -c -o $@ $<
-
-.cc.obj:
- at am__fastdepCXX_TRUE@	$(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
- at am__fastdepCXX_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
- at AMDEP_TRUE@@am__fastdepCXX_FALSE@	source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
- at AMDEP_TRUE@@am__fastdepCXX_FALSE@	DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
- at am__fastdepCXX_FALSE@	$(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
-
-ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
-	list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
-	unique=`for i in $$list; do \
-	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
-	  done | \
-	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
-	      END { if (nonempty) { for (i in files) print i; }; }'`; \
-	mkid -fID $$unique
-tags: TAGS
-
-TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
-		$(TAGS_FILES) $(LISP)
-	set x; \
-	here=`pwd`; \
-	list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
-	unique=`for i in $$list; do \
-	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
-	  done | \
-	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
-	      END { if (nonempty) { for (i in files) print i; }; }'`; \
-	shift; \
-	if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
-	  test -n "$$unique" || unique=$$empty_fix; \
-	  if test $$# -gt 0; then \
-	    $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
-	      "$$@" $$unique; \
-	  else \
-	    $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
-	      $$unique; \
-	  fi; \
-	fi
-ctags: CTAGS
-CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
-		$(TAGS_FILES) $(LISP)
-	list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
-	unique=`for i in $$list; do \
-	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
-	  done | \
-	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
-	      END { if (nonempty) { for (i in files) print i; }; }'`; \
-	test -z "$(CTAGS_ARGS)$$unique" \
-	  || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
-	     $$unique
-
-GTAGS:
-	here=`$(am__cd) $(top_builddir) && pwd` \
-	  && $(am__cd) $(top_srcdir) \
-	  && gtags -i $(GTAGS_ARGS) "$$here"
-
-distclean-tags:
-	-rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
-
-distdir: $(DISTFILES)
-	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
-	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
-	list='$(DISTFILES)'; \
-	  dist_files=`for file in $$list; do echo $$file; done | \
-	  sed -e "s|^$$srcdirstrip/||;t" \
-	      -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
-	case $$dist_files in \
-	  */*) $(MKDIR_P) `echo "$$dist_files" | \
-			   sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
-			   sort -u` ;; \
-	esac; \
-	for file in $$dist_files; do \
-	  if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
-	  if test -d $$d/$$file; then \
-	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
-	    if test -d "$(distdir)/$$file"; then \
-	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
-	    fi; \
-	    if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
-	      cp -fpR $(srcdir)/$$file "$(distdir)$$dir" || exit 1; \
-	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
-	    fi; \
-	    cp -fpR $$d/$$file "$(distdir)$$dir" || exit 1; \
-	  else \
-	    test -f "$(distdir)/$$file" \
-	    || cp -p $$d/$$file "$(distdir)/$$file" \
-	    || exit 1; \
-	  fi; \
-	done
-check-am: all-am
-check: check-am
-all-am: Makefile $(PROGRAMS)
-installdirs:
-	for dir in "$(DESTDIR)$(bindir)"; do \
-	  test -z "$$dir" || $(MKDIR_P) "$$dir"; \
-	done
-install: install-am
-install-exec: install-exec-am
-install-data: install-data-am
-uninstall: uninstall-am
-
-install-am: all-am
-	@$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
-
-installcheck: installcheck-am
-install-strip:
-	$(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
-	  install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
-	  `test -z '$(STRIP)' || \
-	    echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
-mostlyclean-generic:
-
-clean-generic:
-
-distclean-generic:
-	-test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
-	-test . = "$(srcdir)" || test -z "$(CONFIG_CLEAN_VPATH_FILES)" || rm -f $(CONFIG_CLEAN_VPATH_FILES)
-
-maintainer-clean-generic:
-	@echo "This command is intended for maintainers to use"
-	@echo "it deletes files that may require special tools to rebuild."
-clean: clean-am
-
-clean-am: clean-binPROGRAMS clean-generic mostlyclean-am
-
-distclean: distclean-am
-	-rm -rf ./$(DEPDIR)
-	-rm -f Makefile
-distclean-am: clean-am distclean-compile distclean-generic \
-	distclean-tags
-
-dvi: dvi-am
-
-dvi-am:
-
-html: html-am
-
-html-am:
-
-info: info-am
-
-info-am:
-
-install-data-am:
-
-install-dvi: install-dvi-am
-
-install-dvi-am:
-
-install-exec-am: install-binPROGRAMS
-
-install-html: install-html-am
-
-install-html-am:
-
-install-info: install-info-am
-
-install-info-am:
-
-install-man:
-
-install-pdf: install-pdf-am
-
-install-pdf-am:
-
-install-ps: install-ps-am
-
-install-ps-am:
-
-installcheck-am:
-
-maintainer-clean: maintainer-clean-am
-	-rm -rf ./$(DEPDIR)
-	-rm -f Makefile
-maintainer-clean-am: distclean-am maintainer-clean-generic
-
-mostlyclean: mostlyclean-am
-
-mostlyclean-am: mostlyclean-compile mostlyclean-generic
-
-pdf: pdf-am
-
-pdf-am:
-
-ps: ps-am
-
-ps-am:
-
-uninstall-am: uninstall-binPROGRAMS
-
-.MAKE: install-am install-strip
-
-.PHONY: CTAGS GTAGS all all-am check check-am clean clean-binPROGRAMS \
-	clean-generic ctags distclean distclean-compile \
-	distclean-generic distclean-tags distdir dvi dvi-am html \
-	html-am info info-am install install-am install-binPROGRAMS \
-	install-data install-data-am install-dvi install-dvi-am \
-	install-exec install-exec-am install-html install-html-am \
-	install-info install-info-am install-man install-pdf \
-	install-pdf-am install-ps install-ps-am install-strip \
-	installcheck installcheck-am installdirs maintainer-clean \
-	maintainer-clean-generic mostlyclean mostlyclean-compile \
-	mostlyclean-generic pdf pdf-am ps ps-am tags uninstall \
-	uninstall-am uninstall-binPROGRAMS
-
-
-# Tell versions [3.59,3.63) of GNU make to not export all variables.
-# Otherwise a system limit (for SysV at least) may be exceeded.
-.NOEXPORT:
diff --git a/modelgen/Makefile.template b/modelgen/Makefile.template
deleted file mode 100644
index a3b138a..0000000
--- a/modelgen/Makefile.template
+++ /dev/null
@@ -1,119 +0,0 @@
-#$Id: Makefile.template,v 26.34 2007/07/03 22:49:52 al Exp $ -*- Makefile -*-
-# Copyright (C) 2001 Albert Davis
-# Author: Albert Davis <aldavis at gnu.org>
-#
-# This file is part of "Gnucap", the Gnu Circuit Analysis Package
-#
-# This program is free software; you can redistribute it and/or modify
-# it under the terms of the GNU General Public License as published by
-# the Free Software Foundation; either version 3, or (at your option)
-# any later version.
-#
-# This program is distributed in the hope that it will be useful,
-# but WITHOUT ANY WARRANTY; without even the implied warranty of
-# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
-# GNU General Public License for more details.
-#
-# You should have received a copy of the GNU General Public License
-# along with this program; if not, write to the Free Software
-# Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
-# 02110-1301, USA.
-#------------------------------------------------------------------------
-# In most cases you can just type "make" to build it.
-# Generally, you will want "make your-system".
-# Look in this file for the choices.
-# If yours is not here, look for a similar one and copy.
-# The special configurations listed here allow you to do multiple builds
-# from the same source directory, and patch some problems.
-#-----------------------------------------------------------------------------
-# The default is to use the makefile built by "configure"
-default: nothing
-	-mkdir O
-	cat Make1 Make2 Make3 Make.depend >O/Makefile
-	(cd O; ${MAKE} -k)
-#-----------------------------------------------------------------------------
-# The most common configuration is g++
-# This should work if it is properly installed
-# and has the proper libraries and headers.
-# It is optimized for speed.  Debugging is off.
-g++: nothing
-	-mkdir O
-	cat Make1 Make2.g++ Make3 Make.depend >O/Makefile
-	(cd O; ${MAKE} -k)
-#-----------------------------------------------------------------------------
-# This one makes a "debug" build ...
-# Asserts and some tracing is turned on.
-# It prints a trace when "untested" code is exercised.
-# "Untested" means the regressions don't test it.
-# It doesn't mean TOTALLY untested.
-debug: nothing
-	-mkdir O-DEBUG
-	cat Make1 Make2.Debug Make3 Make.depend >O-DEBUG/Makefile
-	(cd O-DEBUG; ${MAKE} -k)
-#-----------------------------------------------------------------------------
-#-----------------------------------------------------------------------------
-# MS Windows using mingw32
-mingw: nothing
-	-mkdir MSW
-	cat Make1 Make2.mingw32 Make3 Make.depend >MSW/Makefile
-	(cd MSW; ${MAKE} -k)
-#-----------------------------------------------------------------------------
-tags: nothing
-	cat Make1 Make2.g++ Make3 >Make.aux
-	(${MAKE} tags -f Make.aux)
-#-----------------------------------------------------------------------------
-depend: nothing
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux depend
-#-----------------------------------------------------------------------------
-checkin: nothing
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux checkin
-#-----------------------------------------------------------------------------
-checkout: nothing
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux checkout
-#-----------------------------------------------------------------------------
-#  Note that the /usr/local directory is overwritten by configure.
-install: nothing
-	if test -d /usr/local/bin; then \
-		cp O/gnucap /usr/local/bin/gnucap; \
-	else \
-		mkdir -p /usr/local/bin && \
-		cp O/gnucap /usr/local/bin/gnucap; \
-	fi
-#-----------------------------------------------------------------------------
-uninstall: clean
-	-rm /usr/local/bin/gnucap
-#-----------------------------------------------------------------------------
-unconfig: 
-	rm -f Makefile
-#-----------------------------------------------------------------------------
-date: nothing
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux date
-#-----------------------------------------------------------------------------
-manifest:
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux manifest
-#-----------------------------------------------------------------------------
-md5sums:
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux md5sums
-#-----------------------------------------------------------------------------
-mostlyclean:
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux mostlyclean
-clean:
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux clean
-distclean:
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux distclean
-maintainer-clean:
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux maintainer-clean
-#-----------------------------------------------------------------------------
-nothing:
-#-----------------------------------------------------------------------------
-#-----------------------------------------------------------------------------
diff --git a/modelgen/ap.h b/modelgen/ap.h
deleted file mode 100644
index dd5a114..0000000
--- a/modelgen/ap.h
+++ /dev/null
@@ -1,210 +0,0 @@
-/*$Id: ap.h,v 26.130 2009/11/15 21:51:59 al Exp $  -*- C++ -*-
- * Copyright (C) 2001 Albert Davis
- * Author: Albert Davis <aldavis at gnu.org>
- *
- * This file is part of "Gnucap", the Gnu Circuit Analysis Package
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 3, or (at your option)
- * any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
- * 02110-1301, USA.
- *------------------------------------------------------------------
- * stuff for the "ap" family of parsing functions
- */
-//testing=script,sparse 2006.07.17
-#ifndef AP_H
-#define AP_H
-#include "md.h"
-/*--------------------------------------------------------------------------*/
-char* getcmd(const char*,char*,int);
-/*--------------------------------------------------------------------------*/
-enum AP_MOD{
-  mNONE,	/* nothing special */
-  mSCALE,	/* scale it after reading */
-  mOFFSET,	/* add an offset */
-  mINVERT,	/* save 1 / the number */
-  mPOSITIVE,	/* store absolute value */
-  mOCTAL,	/* read the number in octal */
-  mHEX		/* read the number in hex */
-};
-
-const bool ONE_OF = false;
-
-class INTERFACE CS {
-public:
-  enum STDIN {_STDIN};
-  enum INC_FILE {_INC_FILE};
-  enum WHOLE_FILE {_WHOLE_FILE};
-  enum STRING {_STRING};
-private:
-  FILE* _file;
-  std::string _name;
-  std::string _cmd;
-  unsigned  _cnt;
-  unsigned  _length;
-  unsigned  _begin_match;
-  unsigned  _end_match;
-  bool _ok;
-  int _line_number;
-public:
-  // construction, destruction, and re-construction
-  explicit    CS(STDIN);
-  explicit    CS(INC_FILE, const std::string& name);
-  explicit    CS(WHOLE_FILE, const std::string& name);
-  explicit    CS(STRING, const std::string& s);
-  explicit    CS(const CS& p);
-  CS&	      operator=(const std::string& s);
-  CS&	      operator=(const CS& p);
-  CS&	      get_line(const std::string& prompt);
-	      ~CS()		{if (is_file()) {fclose(_file);}}
-  
-  // status - non-consuming
-  unsigned cursor()const	{return _cnt;}
-  bool	stuck(unsigned* last)	{bool ok=*last<_cnt; *last=_cnt; return !ok;}
-  bool	gotit(unsigned last)	{return last<_cnt;}
-	operator bool()const	{return _ok;}
-
-  // get -- non-consuming
-  const std::string fullstring()const		{return _cmd;}
-  const std::string substr(unsigned i)const {return ((_cmd.length()>=i) ? _cmd.substr(i) : "");}
-  const std::string substr(unsigned i, unsigned n)const	{return _cmd.substr(i,n);}
-  const std::string tail()const			{return substr(_cnt);}
-  char		    peek()const			{return _cmd[_cnt];}
-
-  // status - may consume whitespace only
-  bool	      ns_more()const	{return peek()!='\0';}
-  bool	      more()		{skipbl(); return ns_more();}
-  bool	      is_end()		{return !more();}
-  bool	      is_file()		{return (_file && !isatty(fileno(_file)));}
-  bool	      is_first_read()const {untested(); return (_line_number == 0);}
-
-  // control
-  CS&	      reset(unsigned c=0) {_cnt=c; _ok=true; return *this;}
-
-  // exception handling (ap_error.cc) non-consuming
-  CS&	      check(int, const std::string&);
-  CS&	      warn(int, unsigned, const std::string&);
-  CS&         warn(int i, const std::string& s)	{return warn(i,cursor(), s);}
-
-  // string matching (ap_match.cc) possibly consuming, sets _ok
-  CS&	      umatch(const std::string&);
-  CS&	      scan(const std::string&);
-  std::string last_match()const;
-  std::string trimmed_last_match(const std::string& = " ,=;")const;
-
-  // character tests - non-consuming, no _ok
-  bool	      match1(char c)const{return (peek()==c);}
-  bool	      match1(const std::string& c)const
-		{return ns_more() && strchr(c.c_str(),peek());}
-  size_t      find1(const std::string& c)const
-	{return ((ns_more()) ? c.find_first_of(peek()) : std::string::npos);}
-  bool	      is_xdigit()const
-		{untested(); return (match1("0123456789abcdefABCDEF"));}
-  bool	      is_digit()const	{return (match1("0123456789"));}
-  bool	      is_pfloat()const	{return (match1(".0123456789"));}
-  bool	      is_float()const	{return (match1("+-.0123456789"));}
-  bool	      is_argsym()const	{return (match1("*?$%_&@"));}
-  bool	      is_alpha()const	{return !!isalpha(toascii(peek()));}
-  bool	      is_alnum()const   {return !!isalnum(toascii(peek()));}
-  bool	      is_term(const std::string& t = ",=(){};")
-	{char c=peek(); return (c=='\0' || isspace(c) || match1(t));}
-
-  // conversions (ap_convert.cc) always consuming
-  char	      ctoc();
-  void        ctostr(char*,int,const std::string&);
-  std::string ctos(const std::string& term=",=(){};",
-		   const std::string& b="\"'{",
-		   const std::string& e="\"'}",
-		   const std::string& trap="");
-  std::string get_to(const std::string& term);
-
-  // conversions (ap_convert.cc) consumes if successful, sets _ok
-  double      ctof();
-  bool	      ctob();
-  int	      ctoi();
-  unsigned    ctou();
-  int	      ctoo();
-  int	      ctox();
-  double      ctopf()			 {return std::abs(ctof());}
-  CS&	      operator>>(bool& x)	 {x=ctob();return *this;}
-  CS&	      operator>>(char& x)	 {untested(); x=ctoc();return *this;}
-  CS&         operator>>(int& x)	 {x=ctoi();return *this;}
-  CS&         operator>>(unsigned& x)	 {x=ctou();return *this;}
-  CS&         operator>>(double& x)	 {x=ctof();return *this;}
-  CS&	      operator>>(std::string& x) {x=ctos();return *this;}
-
-  // skip (ap_skip.cc) possibly consuming, sets _ok
-  CS&	      skip(int c=1) 
-    {_cnt=static_cast<unsigned>(static_cast<int>(_cnt)+c); _ok=_cnt<=_length; return *this;}
-  CS&	      skipbl();
-  CS&	      skip1b(char);
-  CS&	      skip1(char);
-  CS&	      skip1b(const std::string&);
-  CS&	      skip1(const std::string&);
-  CS&	      skiparg();
-  CS&	      skipto1(const std::string&);
-  CS&	      skipto1(char);
-  CS&	      skipcom()			{return skip1b(",");}
-  CS&	      operator>>(const char& x)	{return skip1b(x);}
-  CS&	      operator>>(const char* x)	{return umatch(x);}
-};	
-/*--------------------------------------------------------------------------*/
-/*--------------------------------------------------------------------------*/
-// these are non-member to provide a consistent interface,
-// like the templates to follow
-INTERFACE bool Get(CS& cmd, const std::string&, bool*);
-INTERFACE bool Get(CS& cmd, const std::string&, int*,    AP_MOD=mNONE, int=0);
-INTERFACE bool Get(CS& cmd, const std::string&, double*, AP_MOD, double=0.);
-/*--------------------------------------------------------------------------*/
-template <class T>
-bool Get(CS& cmd, const std::string& key, T* val)
-{
-  if (cmd.umatch(key + " {=}")) {
-    cmd >> *val;
-    return true;
-  }else{
-    return false;
-  }
-}
-/*--------------------------------------------------------------------------*/
-template <class T>
-inline bool scan_get(CS& cmd, const std::string& key, T* val)
-{
-  if (cmd.scan(key)) {
-    cmd >> '=' >> *val;
-    return true;
-  }else{
-    return false;
-  }
-}
-/*--------------------------------------------------------------------------*/
-template <class T>
-inline bool Set(CS& cmd, const std::string& key, T* val, T newval)
-{
-  if (cmd.umatch(key + ' ')) {
-    *val = newval;
-    return true;
-  }else{
-    return false;
-  }
-}
-/*--------------------------------------------------------------------------*/
-template <class T>
-inline CS& operator>>(CS& cmd, T& val)
-{
-  val.parse(cmd);
-  return cmd;
-}
-/*--------------------------------------------------------------------------*/
-/*--------------------------------------------------------------------------*/
-#endif
diff --git a/modelgen/ap_construct.cc b/modelgen/ap_construct.cc
deleted file mode 100644
index d8679d9..0000000
--- a/modelgen/ap_construct.cc
+++ /dev/null
@@ -1,272 +0,0 @@
-/*$Id: ap_construct.cc,v 26.130 2009/11/15 21:51:59 al Exp $ -*- C++ -*-
- * Copyright (C) 2001 Albert Davis
- * Author: Albert Davis <aldavis at gnu.org>
- *
- * This file is part of "Gnucap", the Gnu Circuit Analysis Package
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 3, or (at your option)
- * any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
- * 02110-1301, USA.
- *------------------------------------------------------------------
- * construction, copy, etc.
- */
-//testing=script,sparse 2006.07.17
-#include "u_opt.h"
-#include "ap.h"
-
-#if defined(HAVE_LIBREADLINE)
-  #include <readline/readline.h>
-  #include <readline/history.h>
-#endif
-/*--------------------------------------------------------------------------*/
-static std::string getlines(FILE*);
-OMSTREAM mout; // > file bitmap //BUG//encapsulation
-OMSTREAM mlog; // log file bitmap
-/*--------------------------------------------------------------------------*/
-CS::CS(CS::STDIN)
-  :_file(stdin),
-   _name(),
-   _cmd(),
-   _cnt(0),
-   _length(0),
-   _begin_match(0),
-   _end_match(0),
-   _ok(true),
-   _line_number(0)
-{
-}
-/*--------------------------------------------------------------------------*/
-CS::CS(CS::INC_FILE, const std::string& name)
-  :_file(fopen(name.c_str(), "r")),
-   _name(name),
-   _cmd(),
-   _cnt(0),
-   _length(0),
-   _begin_match(0),
-   _end_match(0),
-   _ok(true),
-   _line_number(0)
-{
-  if (!_file) {itested();
-    throw Exception_File_Open(name + ':' + strerror(errno));
-  }else{
-  }
-}
-/*--------------------------------------------------------------------------*/
-CS::CS(CS::WHOLE_FILE, const std::string& name)
-  :_file(NULL),
-   _name(name),
-   _cmd(),
-   _cnt(0),
-   _length(0),
-   _begin_match(0),
-   _end_match(0),
-   _ok(true),
-   _line_number(0)
-{
-  int f = open(name.c_str(), O_RDONLY);
-  if (f == EOF) {itested();
-    throw Exception_File_Open(name + ':' + strerror(errno));
-  }else{
-  }
-  _length = static_cast<unsigned>(lseek(f, off_t(0), SEEK_END));
-  lseek(f, off_t(0), SEEK_SET);
-
-  char* cmd = new char[_length+2];
-  read(f, cmd, _length);
-  cmd[_length++] = '\0';
-  _cmd = cmd;
-
-  close(f);
-}
-/*--------------------------------------------------------------------------*/
-CS::CS(CS::STRING, const std::string& s)
-  :_file(NULL),
-   _name(),
-   _cmd(s),
-   _cnt(0),
-   _length(static_cast<unsigned>(s.length())),
-   _begin_match(0),
-   _end_match(0),
-   _ok(true),
-   _line_number(0)
-{
-}
-/*--------------------------------------------------------------------------*/
-#if 0
-CS::CS(const CS& p)
-  :_file(NULL),
-   _name(p._name),
-   _cmd(p._cmd),
-   _cnt(p._cnt),
-   _length(p._length),
-   _begin_match(0),
-   _end_match(0),
-   _ms(p._ms),
-   _ok(p._ok),
-   _line_number(0)
-{untested();
-}
-#endif
-/*--------------------------------------------------------------------------*/
-CS& CS::operator=(const std::string& s)
-{untested();
-  assert(!_file);
-  _cmd = s;
-  _cnt = 0;
-  _ok = true;
-  _length = static_cast<unsigned>(s.length());
-  return *this;
-}
-/*--------------------------------------------------------------------------*/
-#if 0
-CS& CS::operator=(const CS& p)
-{untested();
-  assert(&p != this);
-  _name = p._name;
-  _file = p._file;
-  _cmd = p._cmd;
-  _cnt = p._cnt;
-  _ok = p._ok;
-  _length = p._length;
-  return *this;
-}
-#endif
-/*--------------------------------------------------------------------------*/
-CS& CS::get_line(const std::string& prompt)
-{
-  ++_line_number;
-  if (is_file()) {
-    _cmd = getlines(_file);
-    _cnt = 0;
-    _length = static_cast<unsigned>(_cmd.length());
-    _ok = true;
-  }else{itested();
-    assert(_file == stdin);
-    char cmdbuf[BUFLEN];
-    getcmd(prompt.c_str(), cmdbuf, BUFLEN);
-    _cmd = cmdbuf;
-    _cnt = 0;
-    _length = static_cast<unsigned>(_cmd.length());
-    _ok = true;
-  }
-
-  if (OPT::listing) {
-    IO::mstdout << "\"" << fullstring() << "\"\n";
-  }else{
-  }
-  return *this;
-}
-/*--------------------------------------------------------------------------*/
-/* getcmd: get a command.
- * if "fin" is stdin, display a prompt first.
- * Also, actually do logging, echo, etc.
- */
-char *getcmd(const char *prompt, char *buffer, int buflen)
-{
-  assert(prompt);
-  assert(buffer);
-  if (isatty(fileno(stdin))) {
-    // stdin is keyboard
-#if defined(HAVE_LIBREADLINE)
-    if (OPT::edit) {
-      char* line_read = readline(prompt);
-      if (!line_read) {itested();
-	throw Exception_End_Of_Input("EOF on stdin");
-      }else{
-      }
-      // readline gets a new buffer every time, so copy it to where we want it
-      char* end_of_line = (char*)memccpy(buffer, line_read, 0, static_cast<size_t>(buflen-1));
-      if (!end_of_line) {
-	buffer[buflen-1] = '\0';
-      }else{
-	*end_of_line = '\0';
-      }
-      free(line_read);
-      
-      if (*buffer) {
-	add_history(buffer);
-      }else{
-      }
-    }else
-#endif
-      {
-	IO::mstdout << prompt;	/* prompt & flush buffer */
-	if (!fgets(buffer, buflen, stdin)) {untested();	/* read line */
-	  throw Exception_End_Of_Input("EOF on stdin");
-	}else{
-	}
-      }
-    (IO::mstdout - mout) << '\r';	/* reset col counter */
-    trim(buffer);
-    (mlog + mout) << buffer << '\n';
-    return buffer;
-  }else{
-    // stdin is file
-    if (!fgets(buffer, buflen, stdin)) {itested();	/* read line */
-      throw Exception_End_Of_Input("EOF on stdin");
-    }else{
-    }
-    trim(buffer);
-    (mlog + mout) << buffer << '\n';
-    return buffer;
-  }
-}
-/*--------------------------------------------------------------------------*/
-static std::string getlines(FILE *fileptr)
-{
-  assert(fileptr);
-  const int buffer_size = BIGBUFLEN;
-  std::string s;
-
-  bool need_to_get_more = true;  // get another line (extend)
-  while (need_to_get_more) {
-    char buffer[buffer_size+1];
-    char* got_something = fgets(buffer, buffer_size, fileptr);
-    if (!got_something) { // probably end of file
-      need_to_get_more = false;
-      if (s == "") {
-	throw Exception_End_Of_Input("");
-      }else{untested();
-      }
-    }else{
-      trim(buffer);
-      size_t count = strlen(buffer);
-      if (buffer[count-1] == '\\') {itested();
-	buffer[count-1] = '\0';
-      }else{
-	// look ahead at next line
-	//int c = fgetc(fileptr);
-	int c;
-	while (isspace(c = fgetc(fileptr))) {
-	  // skip
-	}
-	if (c == '+') {
-	  need_to_get_more = true;
-	}else if (c == '\n') {unreachable();
-	  need_to_get_more = true;
-	  ungetc(c,fileptr);
-	}else{
-	  need_to_get_more = false;
-	  ungetc(c,fileptr);
-	}
-      }
-      s += buffer;
-      s += ' ';
-    }
-  }
-  return s;
-}
-/*--------------------------------------------------------------------------*/
-/*--------------------------------------------------------------------------*/
diff --git a/modelgen/ap_convert.cc b/modelgen/ap_convert.cc
deleted file mode 100644
index e2aa4b2..0000000
--- a/modelgen/ap_convert.cc
+++ /dev/null
@@ -1,345 +0,0 @@
-/*$Id: ap_convert.cc,v 26.125 2009/10/15 20:58:21 al Exp $ -*- C++ -*-
- * Copyright (C) 2001 Albert Davis
- * Author: Albert Davis <aldavis at gnu.org>
- *
- * This file is part of "Gnucap", the Gnu Circuit Analysis Package
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 3, or (at your option)
- * any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
- * 02110-1301, USA.
- */
-//testing=script 2006.07.17
-#include "u_opt.h"
-#include "ap.h"
-/*--------------------------------------------------------------------------*/
-//	char	 CS::ctoc();
-//	void	 CS::ctostr(char* d, int l, const string& t);
-//	string	 CS::ctos(const string& term);
-//	int	 CS::ctoi();
-//	unsigned CS::ctou();
-//	int	 CS::ctoo();
-//	int	 CS::ctox();
-//	double	 CS::ctof();
-/*--------------------------------------------------------------------------*/
-/* ctoc: character input to character
- */
-char CS::ctoc()
-{
-  char c=_cmd[_cnt];
-  if(_cnt<=_length) {
-    ++_cnt;
-  }else{untested();
-  }
-  return c;
-}
-/*--------------------------------------------------------------------------*/
-/* ctostr: character input to string
- * scan (and eat) an input string (cmd) using index (cnt).
- * result in (des)  (null terminated).
- * max length (actual char count) is (len).
- * (des) must be at least (len)+1 characters long.
- * (cmd) unchanged.  (*cnt) updated to point to next argument.
- * skips leading whitespace.  skips trailing whitespace and comma
- * skips parts of input word too big for destination
- */
-void CS::ctostr(char* des, int len, const std::string& term)
-{
-  skipbl();
-  int ii;
-  for (ii = 0;  ii < len && !is_term(term);  ++ii) {
-    des[ii] = ctoc();
-  }
-  des[ii] = '\0';
-
-  while (!is_term(term)) {untested();
-    skip();
-  }
-  skipcom();
-}
-/*--------------------------------------------------------------------------*/
-std::string CS::ctos(const std::string& term, 
-		     const std::string& begin_quote,
-		     const std::string& end_quote,
-		     const std::string& trap)
-{
-  assert(begin_quote.length() == end_quote.length());
-
-  skipbl();
-  unsigned begin_string = cursor();
-  unsigned end_string = cursor();
-
-  std::string s;
-  std::string::size_type which_quote = find1(begin_quote);
-  if (which_quote != std::string::npos) {
-    int quotes = 1;
-    skip(); // the quote
-    begin_string = cursor();
-    char the_begin_quote = begin_quote[which_quote];
-    char the_end_quote = end_quote[which_quote];
-    for (;;) {
-      if (!ns_more()) {itested();
-	end_string = cursor();
-	warn(bDANGER, std::string("need ") + the_end_quote);
-	break;
-      }else if (skip1(the_end_quote)) {
-	if (--quotes <= 0) {
-	  end_string = cursor() - 1;
-	  break;
-	}else{
-	}
-      }else if (skip1(the_begin_quote)) {
-	++quotes;
-	skip();
-      }else if (skip1('\\')) {
-	end_string = cursor() - 1;
-	s += _cmd.substr(begin_string, end_string-begin_string);
-	begin_string = cursor();
-	skip1(the_end_quote);
-      }else{
-	skip();
-      }
-    }
-    s += _cmd.substr(begin_string, end_string-begin_string);
-  }else{
-    while(ns_more() && !is_term(term)) {
-      skip();
-    }
-    if (match1(trap)) {untested();
-      warn(bDANGER, "ap_convert trap-exit");
-    }else{
-    }
-    end_string = cursor();
-    s = _cmd.substr(begin_string, end_string-begin_string);
-  }
-
-  skipcom();
-  _ok = end_string > begin_string;
-  return s;
-}
-/*--------------------------------------------------------------------------*/
-std::string CS::get_to(const std::string& term)
-{
-  std::string des;
-  while(ns_more() && !match1(term)) {
-    des += ctoc();
-  }
-  return des;
-}
-/*--------------------------------------------------------------------------*/
-/* ctob: character input to bool
- * no match makes it true;
- * Mismatch belongs to next token
- */
-bool CS::ctob()
-{
-  skipbl();
-  unsigned here = cursor();
-  bool val = true;
-  ONE_OF
-    || Set(*this, "1",       &val, true)
-    || Set(*this, "0",       &val, false)
-    || Set(*this, "t{rue}",  &val, true)
-    || Set(*this, "f{alse}", &val, false)
-    || Set(*this, "y{es}",   &val, true)
-    || Set(*this, "n{o}",    &val, false)
-    || Set(*this, "#t{rue}", &val, true)
-    || Set(*this, "#f{alse}",&val, false)
-    ;
-  skipcom();
-  _ok = cursor() > here;
-  return val;
-}
-/*--------------------------------------------------------------------------*/
-/* ctoi: character input to integer
- * Returns signed integer, or 0 if the string is not a number.
- * Input must be integer: no multipliers, no decimal point.
- * Dot or letter belongs to the next token.
- */
-int CS::ctoi()
-{
-  int val = 0;
-  int sign = 1;
-
-  skipbl();
-  unsigned here = cursor();
-  if (skip1("-")) {
-    sign = -1;
-  }else{
-    skip1("+");
-  }
-
-  while (is_digit()) {
-    val = 10 * val + (ctoc()-'0');
-  }
-  skipcom();
-  _ok = cursor() > here;
-  return val * sign;
-}
-/*--------------------------------------------------------------------------*/
-/* ctou: character input to unsigned integer
- * Returns unsigned integer, or 0 if the string is not a number.
- * Input must be integer: no multipliers, no decimal point.
- * Dot or letter belongs to the next token.
- */
-unsigned CS::ctou()
-{
-  unsigned val = 0;
-
-  skipbl();
-  unsigned here = cursor();
-  while (is_digit()) {
-    val = 10 * val + static_cast<unsigned>(ctoc()-'0');
-  }
-  skipcom();
-  _ok = cursor() > here;
-  return val;
-}
-/*--------------------------------------------------------------------------*/
-/* ctoo: character octal input to integer
- * Returns integer, or 0 if the string is not a number.
- * Input must be integer: no multipliers, no decimal point.
- * Dot or letter belongs to the next token.
- * There is no check against '8' and '9'.
- */
-int CS::ctoo()
-{
-  int val = 0;
-
-  skipbl();
-  unsigned here = cursor();
-  while (is_digit()) {
-    val = 8 * val + (ctoc()-'0');
-  }
-  skipcom();
-  _ok = cursor() > here;
-  return val;
-}
-/*--------------------------------------------------------------------------*/
-/* ctox: character hex input to unsigned integer
- * Returns integer, or 0 if the string is not a number.
- * Input must be hex integer: no multipliers, no decimal point.
- * Dot or letter belongs to the next token.
- */
-int CS::ctox()
-{untested();
-  int val = 0;
-
-  skipbl();
-  unsigned here = cursor();
-  while (is_xdigit()) {untested();
-    if (is_digit()) {untested();
-      val = 16 * val + (ctoc()-'0');
-    }else{untested();
-      val = 16 * val + (tolower(ctoc())-'a'+10);
-    }
-  }
-  skipcom();
-  _ok = cursor() > here;
-  return val;
-}
-/*--------------------------------------------------------------------------*/
-/* ctof: floating point input
- * return double number if got, else 0
- * supports letter multipliers (spice style)
- * skips trailing letters (10uhenries == 10u)
- * skips trailing spaces and one comma
- * pointer points to char following comma
- * or first non-space following number just got
- * or first non-space (if non-number)
- */
-double CS::ctof()
-{
-  double val = 0.0;
-  double power = 1.0;
-  int    sign = 1;
-
-  skipbl();
-  if (!is_float()) {
-    skipcom();
-    _ok = false;
-    return 0.;
-  }else{
-  }
-
-  if (skip1("-")) {			// sign
-    sign = -1;
-  }else{
-    skip1("+");
-  }
-
-  while (is_digit()) {			// up to dec pt
-    val = 10.0 * val + (ctoc()-'0');
-  }
-  skip1(".");				// dec pt
-
-  while (is_digit()) {			// after dec pt
-    val = 10.0 * val + (ctoc()-'0');
-    power *= .1;
-  }
-
-  if (skip1("eE")) {			// exponent: E form
-    int expo = 0;
-    int es = 1;
-    if (skip1("-")) {
-      es = -1;
-    }else{
-      skip1("+");
-    }
-    while (is_digit())
-      expo = 10 * expo + (ctoc()-'0');
-    expo *= es;
-    power *= pow(10., expo);
-  }else if ((OPT::units == uSPICE) && skip1("mM")) {		// M is special
-    if (skip1("eE")) {			// meg
-      power *= 1e6;
-    }else if (skip1("iI")) {		// mil
-      power *= 25.4e-6;
-    }else{				// plain m (milli)
-      power *= 1e-3;
-    }
-  }else if (skip1("M")) {
-    assert(OPT::units == uSI);
-    power *= 1e6;
-  }else if (skip1("m")) {
-    assert(OPT::units == uSI);
-    power *= 1e-3;
-  }else if (skip1("uU")) {		// other letters
-    power *= 1e-6;
-  }else if (skip1("nN")) {
-    power *= 1e-9;
-  }else if (skip1("p")) {
-    power *= 1e-12;
-  }else if (skip1("P")) {
-    power *= ((OPT::units == uSI) ? (1e15) : 1e-12);
-  }else if (skip1("fF")) {
-    power *= 1e-15;
-  }else if (skip1("kK")) {
-    power *= 1e3;
-  }else if (skip1("gG")) {
-    power *= 1e9;
-  }else if (skip1("tT")) {
-    power *= 1e12;
-  }else if (skip1("%")) {untested();
-    power *= 1e-2;
-  }else{
-  }
-  while (is_alpha()) {			// skip letters
-    skip();
-  }
-  skipcom();
-  _ok = true;
-  return (sign*val*power);
-}
-/*--------------------------------------------------------------------------*/
-/*--------------------------------------------------------------------------*/
diff --git a/modelgen/ap_get.cc b/modelgen/ap_get.cc
deleted file mode 100644
index ccf8a1e..0000000
--- a/modelgen/ap_get.cc
+++ /dev/null
@@ -1,83 +0,0 @@
-/*$Id: ap_get.cc,v 26.85 2008/06/19 05:01:15 al Exp $ -*- C++ -*-
- * Copyright (C) 2001 Albert Davis
- * Author: Albert Davis <aldavis at gnu.org>
- *
- * This file is part of "Gnucap", the Gnu Circuit Analysis Package
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 3, or (at your option)
- * any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
- * 02110-1301, USA.
- *------------------------------------------------------------------
- * get value for matching keyword
- */
-//testing=script 2006.07.17
-#include "ap.h"
-/*--------------------------------------------------------------------------*/
-/* special version of "get" for "bool"
- * so "nofoo" works as an equivalent to foo=false
- */
-bool Get(CS& cmd, const std::string& key, bool* val)
-{
-  if (cmd.umatch(key + ' ')) {
-    if (cmd.skip1b('=')) {itested();
-      cmd >> *val;
-    }else{
-      *val = true;
-    }
-    return true;
-  }else if (cmd.umatch("no" + key)) {
-    *val = false;
-    return true;
-  }else{
-    return false;
-  }
-}
-/*--------------------------------------------------------------------------*/
-bool Get(CS& cmd, const std::string& key, int* val, AP_MOD mod, int scale)
-{
-  if (cmd.umatch(key + " {=}")) {
-    switch(mod) {
-    case mNONE:			*val = int(cmd.ctof());		break;
-    case mSCALE:    untested(); *val = int(cmd.ctof())*scale;	break;
-    case mOFFSET:   untested(); *val = int(cmd.ctof())+scale;	break;
-    case mINVERT:   untested(); *val = 1 / int(cmd.ctof());	break;
-    case mPOSITIVE: untested(); *val = std::abs(int(cmd.ctof())); break;
-    case mOCTAL:		*val = cmd.ctoo();		break;
-    case mHEX:      untested(); *val = cmd.ctox();		break;
-    }
-    return true;
-  }else{
-    return false;
-  }
-}
-/*--------------------------------------------------------------------------*/
-bool Get(CS& cmd, const std::string& key, double* val, AP_MOD mod, double scale)
-{
-  if (cmd.umatch(key + " {=}")) {
-    switch(mod) {
-    case mNONE:	    untested();	*val = cmd.ctof();		break;
-    case mSCALE:    untested(); *val = cmd.ctof()*scale;	break;
-    case mOFFSET:   untested(); *val = cmd.ctof()+scale;	break;
-    case mINVERT:   untested(); *val = 1 / cmd.ctof();		break;
-    case mPOSITIVE:		*val = std::abs(cmd.ctof());	break;
-    case mOCTAL:    untested();	*val = cmd.ctoo();		break;
-    case mHEX:      untested(); *val = cmd.ctox();		break;
-    }
-    return true;
-  }else{
-    return false;
-  }
-}
-/*--------------------------------------------------------------------------*/
-/*--------------------------------------------------------------------------*/
diff --git a/modelgen/ap_match.cc b/modelgen/ap_match.cc
deleted file mode 100644
index 485aeb7..0000000
--- a/modelgen/ap_match.cc
+++ /dev/null
@@ -1,122 +0,0 @@
-/*$Id: ap_match.cc,v 26.131 2009/11/20 08:22:10 al Exp $ -*- C++ -*-
- * Copyright (C) 2001 Albert Davis
- * Author: Albert Davis <aldavis at gnu.org>
- *
- * This file is part of "Gnucap", the Gnu Circuit Analysis Package
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 3, or (at your option)
- * any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
- * 02110-1301, USA.
- *------------------------------------------------------------------
- * string compare
- */
-//testing=script 2006.07.17
-#include "u_opt.h"
-#include "ap.h"
-/*--------------------------------------------------------------------------*/
-/* umatch = universal match
- */
-CS& CS::umatch(const std::string& s)
-{
-  unsigned start = cursor();
-  skipbl();
-  unsigned begin_match = cursor();
-  const char* str2 = s.c_str();
-  bool optional = 0;
-
-  for (;;) {
-    if ((!*str2) || (*str2 == '|')) {
-      _ok = true;
-      break;
-    }else if ((str2[0] == '\\') && (peek() == str2[1])) {
-      skip();
-      str2 += 2;
-    }else if ((!optional) && (*str2 == '{')) {
-      ++str2;
-      optional = true;
-    }else if ((optional) && (*str2 == '}')) {
-      ++str2;
-      optional = false;
-    }else if ((*str2 == ' ') && is_term()) {
-      // blank in ref string matches anything that delimits tokens
-      skipbl();
-      ++str2;
-    }else if (peek() == *str2) {
-      skip();
-      ++str2;
-    }else if ((OPT::case_insensitive) && (tolower(peek()) == tolower(*str2))) {
-      skip();
-      ++str2;
-    }else if (optional) {
-      while (*str2 != '}') {
-	++str2;
-      }
-    }else{
-      // mismatch
-      const char* bar = strchr(str2, '|');
-      if (bar && (bar[-1] != '\\')) {
-	str2 = bar+1;
-	reset(start);
-      }else{
-	_ok = false;
-	break;
-      }
-    }
-  }
-
-  if (_ok) {
-    _begin_match = begin_match;
-    _end_match = cursor();
-    skipcom();
-    _ok = true;
-  }else{
-    reset(start);
-    _ok = false;
-  }
-  return *this;
-}
-/*--------------------------------------------------------------------------*/
-CS& CS::scan(const std::string& s)
-{
-  unsigned start = cursor();
-  for (;;) {
-    if (umatch(s)) {	 // found it
-      _ok = true;
-      break;
-    }else if (!more()) { // ran out
-      reset(start);
-      _ok = false;
-      break;
-    }else{		 // skip and try again
-      skiparg();
-    }
-  }
-  return *this;
-}
-/*--------------------------------------------------------------------------*/
-std::string CS::last_match()const
-{
-  return _cmd.substr(_begin_match, _end_match-_begin_match);  
-}
-/*--------------------------------------------------------------------------*/
-std::string CS::trimmed_last_match(const std::string& suf)const
-{
-  unsigned real_end = _end_match;
-  while (strchr(suf.c_str(), _cmd[real_end-1])) {
-    --real_end;
-  }
-  return _cmd.substr(_begin_match, real_end-_begin_match);  
-}
-/*--------------------------------------------------------------------------*/
-/*--------------------------------------------------------------------------*/
diff --git a/modelgen/ap_skip.cc b/modelgen/ap_skip.cc
deleted file mode 100644
index 71560e2..0000000
--- a/modelgen/ap_skip.cc
+++ /dev/null
@@ -1,149 +0,0 @@
-/*$Id: ap_skip.cc,v 26.81 2008/05/27 05:34:00 al Exp $ -*- C++ -*-
- * Copyright (C) 2001 Albert Davis
- * Author: Albert Davis <aldavis at gnu.org>
- *
- * This file is part of "Gnucap", the Gnu Circuit Analysis Package
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 3, or (at your option)
- * any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
- * 02110-1301, USA.
- *------------------------------------------------------------------
- * collection of functions to skip input
- * all except skip1 skip leading whitespace, skip whatever is being skipped,
- * then skip trailing whitespace.
- */
-//testing=script 2006.07.17
-#include "ap.h"
-/*--------------------------------------------------------------------------*/
-/* skipbl: skip whitespace.  (any non-graphic character is ws)
- * =,(,) are also ws
- * update string pointer
- * pointer points to first non-space
- * does NOT update _ok flag
- */
-CS& CS::skipbl()
-{
-  while (peek() && (!isgraph(peek()))) {
-    skip();
-  }
-  return *this;
-}
-/*--------------------------------------------------------------------------*/
-/* skip1b: skip 1 matching character and surrounding blanks 
- * _ok = did it
- */
-CS& CS::skip1b(char t)
-{
-  skipbl();
-  skip1(t);
-  skipbl();
-  return *this;
-}
-/*--------------------------------------------------------------------------*/
-/* skip1: skip 1 character matching any in t
- * _ok = did it
- */
-CS& CS::skip1(char t)
-{
-  if (match1(t)) {
-    skip();
-    assert(_ok);
-  }else{
-    _ok = false;
-  }
-  return *this;
-}
-/*--------------------------------------------------------------------------*/
-/* skip1b: skip 1 matching character and surrounding blanks 
- * _ok = did it
- */
-CS& CS::skip1b(const std::string& t)
-{
-  skipbl();
-  skip1(t);
-  skipbl();
-  return *this;
-}
-/*--------------------------------------------------------------------------*/
-/* skip1: skip 1 character matching any in t
- * _ok = did it
- */
-CS& CS::skip1(const std::string& t)
-{
-  if (match1(t)) {
-    skip();
-    assert(_ok);
-  }else{
-    _ok = false;
-  }
-  return *this;
-}
-/*--------------------------------------------------------------------------*/
-/* skiparg: skip an argument (maybe just a comma)
- * _ok = skipped something
- */
-CS& CS::skiparg()
-{
-  unsigned here = cursor();
-  if (!skipcom()) {
-    if (peek()) {
-      skip();
-    }else{
-    }
-    while (is_alpha() || is_float() || is_argsym()) {
-      skip();
-    }
-    skipcom();
-  }else{untested();
-    // empty field, just a comma
-  }
-  _ok = cursor() > here;
-  return *this;
-}
-/*--------------------------------------------------------------------------*/
-/* skipto: skip to a character (one of ...)
- * _ok = skipped something
- */
-CS& CS::skipto1(const std::string& t)
-{untested();
-  unsigned here = cursor();
-  while (ns_more() && !match1(t)) {untested();
-    skip();
-  }
-  _ok = ns_more();
-  if (!_ok) {untested();
-    reset(here);
-  }else{untested();
-  }
-  return *this;
-}
-/*--------------------------------------------------------------------------*/
-/* skipto: skip to a character (explicit)
- * _ok = skipped something
- */
-CS& CS::skipto1(char c)
-{
-  unsigned here = cursor();
-  while (ns_more() && !match1(c)) {
-    skip();
-  }
-  _ok = ns_more();
-  if (!_ok) {untested();
-    reset(here);
-  }else{
-  }
-  return *this;
-}
-/*--------------------------------------------------------------------------*/
-/*--------------------------------------------------------------------------*/
diff --git a/modelgen/configure.old b/modelgen/configure
similarity index 78%
rename from modelgen/configure.old
rename to modelgen/configure
index 59956fb..2408157 100755
--- a/modelgen/configure.old
+++ b/modelgen/configure
@@ -1,31 +1,7 @@
 #!/bin/sh
-mkdir O
+# configure for executable
 
-echo "CCFLAGS = \\" >Make.ccflags
-echo "LIBS = \\" >Make.libs
-
-echo "-DUNIX -O2 -DNDEBUG -I.. -I. -W" >>Make.ccflags
-echo "" >>Make.libs
-echo "" >>Make.libs
-
-cat <<CAT_EOF >Make.sys
-#------------------------------------------------------------------------
-VPATH = .:..
-CCC = g++
-
-LDFLAGS =
-
-.SUFFIXES : .o .cc
-.cc.o:; \$(CCC) \$(CCFLAGS) -c \$<
-#------------------------------------------------------------------------
-\$(TARGET): \$(OBJS)
-	rm -f \$@
-	\$(CCC) \$(CCFLAGS) \$(OBJS) -o \$@ \$(LIBS) \$(LDFLAGS)
-#------------------------------------------------------------------------
-CAT_EOF
-
-cat Make.ccflags Make.libs Make.sys >Make2
-cat Make1 Make2 Make3 Make.depend >O/Makefile
+mkdir -p O
 
 #-------------  Stuff added to enable --prefix  --------------
 if test "x$1" != "x"; then
@@ -46,8 +22,37 @@ else
     prefix=/usr/local
 fi
 
-sed -e "s#/usr/local#$prefix#" Makefile.template > Makefile
+sed -e "s#/usr/local#$prefix#" ../Makefile.template > Makefile
 
 #----------------------------------------------------------------
+echo "CCFLAGS = \\" >Make.ccflags
+echo "LIBS = \\" >Make.libs
+echo "-lgnucap \\" >>Make.libs
+
+echo "-DUNIX -O2 -DNDEBUG -I. -I../include -I../../include -W" >>Make.ccflags
+echo "" >>Make.libs
+echo "PREFIX = " $prefix >>Make.libs
+echo "" >>Make.libs
+
+cat <<CAT_EOF >Make.sys
+#------------------------------------------------------------------------
+VPATH = .:..
+CCC = g++
+TARGET_EXT =
+
+LDFLAGS = -rdynamic \
+-L../../lib/O
+
+.SUFFIXES : .o .cc
+.cc.o:; \$(CCC) \$(CCFLAGS) -c \$<
+#------------------------------------------------------------------------
+\$(TARGET): \$(OBJS)
+	rm -f \$@
+	\$(CCC) \$(CCFLAGS) \$(OBJS) -o \$@ \$(LIBS) \$(LDFLAGS)
+#------------------------------------------------------------------------
+CAT_EOF
+
+cat Make.ccflags Make.libs Make.sys >Make2
+cat Make1 Make2 ../Make3 Make.depend >O/Makefile
 
 exit 0
diff --git a/modelgen/declare.h b/modelgen/declare.h
deleted file mode 100644
index b2a44e2..0000000
--- a/modelgen/declare.h
+++ /dev/null
@@ -1 +0,0 @@
-/* dummy file, to cover some code in transition */
diff --git a/modelgen/io.cc b/modelgen/io.cc
deleted file mode 100644
index 288640d..0000000
--- a/modelgen/io.cc
+++ /dev/null
@@ -1,33 +0,0 @@
-/*$Id: io.cc,v 26.81 2008/05/27 05:34:00 al Exp $ -*- C++ -*-
- * Copyright (C) 2001 Albert Davis
- * Author: Albert Davis <aldavis at gnu.org>
- *
- * This file is part of "Gnucap", the Gnu Circuit Analysis Package
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 3, or (at your option)
- * any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
- * 02110-1301, USA.
- *------------------------------------------------------------------
- * shared data for all io functions, initialization, default values
- */
-//testing=trivial 2006.07.17
-#include "io_.h"
-
-OMSTREAM IO::mstdout(stdout);
-OMSTREAM IO::error(stdout);
-OMSTREAM IO::plotout;
-bool	IO::plotset(false);
-int	IO::formaat(0);
-bool	IO::incipher(false);
-FILE*	IO::stream[MAXHANDLE+1] = {0, stdout, stderr};
diff --git a/modelgen/io_.h b/modelgen/io_.h
deleted file mode 100644
index 0aabb68..0000000
--- a/modelgen/io_.h
+++ /dev/null
@@ -1,103 +0,0 @@
-/*$Id: io_.h,v 26.81 2008/05/27 05:34:00 al Exp $ -*- C++ -*-
- * Copyright (C) 2001 Albert Davis
- * Author: Albert Davis <aldavis at gnu.org>
- *
- * This file is part of "Gnucap", the Gnu Circuit Analysis Package
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 3, or (at your option)
- * any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
- * 02110-1301, USA.
- *------------------------------------------------------------------
- * shared data for all io functions
- * other io related stuff, like files and formatting
- */
-//testing=script 2006.07.17
-#ifndef IO_H
-#define IO_H
-#include "l_lib.h"
-/*--------------------------------------------------------------------------*/
-class CS;
-const int MAXHANDLE = CHAR_BIT*sizeof(int)-1;
-/*--------------------------------------------------------------------------*/
-class INTERFACE OMSTREAM {
-private:
-  int _mask;
-  int _fltdig;			/* max precision for float/double numbers */
-  int _fltwid;			/* fixed(min)width for float/double numbers */
-  int _format;			/* how to format io.  Basic option. */
-  static unsigned _cpos[MAXHANDLE+1];/* character counter */
-  bool _cipher;			/* flag: encrypt output file */
-  bool _pack;			/* flag: convert whitespace to tabs on out */
-
-  OMSTREAM(int m)
-    :_mask(m),_fltdig(7),_fltwid(0),_format(0),_cipher(false),_pack(false) {}
-public:
-  explicit OMSTREAM(FILE* f = 0)
-    :_mask(0),_fltdig(7),_fltwid(0),_format(0),_cipher(false), _pack(false)
-    {_mask = (f) ? 1<<fileno(f) : 0;}
-  OMSTREAM& operator=(const OMSTREAM& x)  {_mask = x._mask; return *this;}
-  OMSTREAM& attach(const OMSTREAM& x)	{itested();_mask |= x._mask; return *this;}
-  OMSTREAM& attach(FILE* f)		{itested();return attach(OMSTREAM(f));}
-  OMSTREAM& detach(const OMSTREAM& x)	{_mask &= ~(x._mask); return *this;}
-  OMSTREAM& detach(FILE* f)		{itested();return detach(OMSTREAM(f));}
-
-  OMSTREAM operator-(const OMSTREAM& y) {OMSTREAM x = *this; return x.detach(y);}
-  OMSTREAM operator+(const OMSTREAM& y) {OMSTREAM x = *this; return x.attach(y);}
-
-  //OMSTREAM& operator<<=(const OMSTREAM& x) {untested();_mask <<= x._mask; return *this;}
-  bool	    any()const			{return _mask != 0;}
-  bool	    cipher()const		{return _cipher;}
-  bool	    pack()const			{return _pack;}
-  int	    format()const		{return _format;}
-  OMSTREAM& setcipher(bool x=true)	{untested(); _cipher=x; return *this;}
-  OMSTREAM& setpack(bool x=true)	{itested(); _pack=x;   return *this;}
-  OMSTREAM& setfloatwidth(int d,int w=0) {_fltdig=d; _fltwid=w; return *this;}
-  OMSTREAM& setformat(int f)		{_format=f; return *this;}
-  OMSTREAM& reset()
-    {_fltdig=7;_fltwid=0;_format=0; _cipher=_pack=false; return *this;}
-  /* out */
-  OMSTREAM& tab(unsigned);
-  OMSTREAM& tab(int p)			{return tab(static_cast<unsigned>(p));}
-  OMSTREAM& form(const char*,...);
-  OMSTREAM& operator<<(char c);
-  OMSTREAM& operator<<(const char* s);
-  OMSTREAM& operator<<(double x)
-    {return (*this)<<ftos(x,_fltwid,_fltdig,_format);}
-  OMSTREAM& operator<<(bool x)		{return form("%d", x);}
-  OMSTREAM& operator<<(int x)		{return form("%d", x);}
-  OMSTREAM& operator<<(unsigned x)	{return form("%u", x);}
-  OMSTREAM& operator<<(const std::string& s) {return operator<<(s.c_str());}
-};
-const char* octal(int x);
-/*--------------------------------------------------------------------------*/
-class INTERFACE IO {
-public:
-  static OMSTREAM mstdout;
-  static OMSTREAM error;
-  static OMSTREAM plotout;		/* where to send ascii graphics */
-  static bool	  plotset;		/* plot on by default flag */
-  static int	  formaat;		/* how to format io.  Basic option. */
-  static bool	  incipher;		/* flag: decrypt input file */
-  static FILE*	  stream[MAXHANDLE+1];	/* reverse of fileno() */
-};
-/*--------------------------------------------------------------------------*/
-/* contrl */ INTERFACE void	   initio(OMSTREAM&);
-		       void	   outreset();
-	     INTERFACE OMSTREAM*   outset(CS&,OMSTREAM*);
-/* findf */	       std::string findfile(const std::string&,const std::string&,int);
-/* xopen */	       void	   xclose(FILE**);
-		       FILE*	   xopen(CS&,const char*,const char*);
-/*--------------------------------------------------------------------------*/
-/*--------------------------------------------------------------------------*/
-#endif
diff --git a/modelgen/io_error.h b/modelgen/io_error.h
deleted file mode 100644
index 28543e7..0000000
--- a/modelgen/io_error.h
+++ /dev/null
@@ -1,111 +0,0 @@
-/*$Id: io_error.h,v 26.130 2009/11/15 21:51:59 al Exp $ -*- C++ -*-
- * data for error and exception handling
- *
- * Copyright (C) 2001 Albert Davis
- * Author: Albert Davis <aldavis at gnu.org>
- *
- * This file is part of "Gnucap", the Gnu Circuit Analysis Package
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 3, or (at your option)
- * any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
- * 02110-1301, USA.
- */
-//testing=trivial 2006.07.17
-#ifndef bDANGER
-//#include "md.h"  included by
-//#include "io_trace.h"
-/*--------------------------------------------------------------------------*/
-/* arg to error() (badness) to decide severity of exception */
-#define	bNOERROR	0
-#define bTRACE		1
-#define bLOG		2
-#define bDEBUG		3
-#define bPICKY		4
-#define	bWARNING	5
-#define bDANGER		6
-INTERFACE void error(int,const char*,...);
-INTERFACE void error(int,const std::string&);
-INTERFACE std::string to_string(int);
-/*--------------------------------------------------------------------------*/
-struct Exception {
-  std::string _message;
-  virtual const std::string message()const {return _message;}
-  Exception(const std::string& Message)
-    :_message(Message) {
-  }
-  virtual ~Exception() {}
-};
-class CS;
-struct Exception_CS :public Exception {
-  std::string _cmd;
-  unsigned _cursor;
-  const std::string message()const;
-  //Exception_CS(const std::string& Message, const CS& cmd, unsigned cursor);
-  Exception_CS(const std::string& Message, const CS& cmd);
-};
-struct Exception_No_Match :public Exception{
-  std::string _key;
-  Exception_No_Match(const std::string& key)
-    :Exception("no match: " + key),
-     _key(key) {
-  }
-};
-struct Exception_Cant_Find :public Exception{
-  std::string _device, _key, _scope;
-  Exception_Cant_Find(const std::string& dev, const std::string& key, const std::string& scope)
-    :Exception(dev + ": can't find: " + key + " in " + scope),
-     _device(dev), _key(key), _scope(scope) {
-  }
-  Exception_Cant_Find(const std::string& dev, const std::string& key)
-    :Exception(dev + ": can't find: " + key),
-     _device(dev), _key(key), _scope("") {
-  }
-};
-struct Exception_Too_Many :public Exception{
-  int _requested, _max, _offset;
-  Exception_Too_Many(int requested, int max, int offset)
-    :Exception("too many: requested=" + to_string(requested+offset)
-	       + " max=" + to_string(max+offset)),
-     _requested(requested), _max(max), _offset(offset) {untested();
-  }
-};
-struct Exception_Type_Mismatch :public Exception{
-  std::string _device, _name, _need_type;
-  Exception_Type_Mismatch(const std::string& dev, const std::string& name,
-			  const std::string& type)
-    :Exception(dev + ": " + name + " is not a " + type),
-     _device(dev), _name(name), _need_type(type) {
-  }
-};
-struct Exception_Model_Type_Mismatch :public Exception{
-  std::string _device, _modelname, _need_type;
-  Exception_Model_Type_Mismatch(const std::string& d, const std::string& m,
-				const std::string& n)
-    :Exception(d + ": model " + m + " is not a " + n),
-     _device(d), _modelname(m), _need_type(n) {
-  }
-};
-struct Exception_End_Of_Input :public Exception{
-  Exception_End_Of_Input(const std::string& Message) 
-    :Exception(Message) {
-  }
-};
-struct Exception_File_Open :public Exception{
-  Exception_File_Open(const std::string& Message) 
-    :Exception(Message) {
-  }
-};
-/*--------------------------------------------------------------------------*/
-/*--------------------------------------------------------------------------*/
-#endif
diff --git a/modelgen/io_out.cc b/modelgen/io_out.cc
deleted file mode 100644
index 71c79aa..0000000
--- a/modelgen/io_out.cc
+++ /dev/null
@@ -1,224 +0,0 @@
-/*$Id: io_out.cc,v 26.81 2008/05/27 05:34:00 al Exp $ -*- C++ -*-
- * Copyright (C) 2001 Albert Davis
- * Author: Albert Davis <aldavis at gnu.org>
- *
- * This file is part of "Gnucap", the Gnu Circuit Analysis Package
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 3, or (at your option)
- * any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
- * 02110-1301, USA.
- *------------------------------------------------------------------
- * output text to files, devices, or whatever
- * m???? = multiple output to a bunch of io devices.
- *	    with character count (so tab will work)
- * Will start a new line first if the entire output will not fit.
- * so wrap will not break a word or number.
- * Where is a bit mask of places to send the output.
- * A possible portability problem exists with the handle numbers.
- * It assumes they start at 0, and count up, and that there are no more than
- * the number of bits in an integer (MAXHANDLE).
- * but I have yet to find a system that did not meet this form.
- */
-//testing=script,sparse 2006.07.17
-#include "u_opt.h"
-/*--------------------------------------------------------------------------*/
-	const char* octal(int x);
-//	OMSTREAM & OMSTREAM::tab(int count)
-//	OMSTREAM & OMSTREAM::form(const char*,...);
-//	OMSTREAM & OMSTREAM::operator<<(const char *str)
-//	OMSTREAM & OMSTREAM::operator<<(char chr)
-/*--------------------------------------------------------------------------*/
-unsigned OMSTREAM::_cpos[MAXHANDLE+1];         /* character counter    */
-/*--------------------------------------------------------------------------*/
-/* octal: make octal string for an int
- */
-const char* octal(int x)
-{
-  static char s[sizeof(int)*3+1];
-  sprintf(s, "%o", x);
-  return s;
-}
-/*--------------------------------------------------------------------------*/
-/* mtab: tab to column "count" on output devices "where"
- * by outputting spaces.
- * If already beyond, start new line, then tab to column.
- */
-OMSTREAM & OMSTREAM::tab(unsigned count)
-{
-  for (int ii=0, mm=1;   ii<=MAXHANDLE;   ++ii, mm<<=1) {
-    if (_mask & mm) {
-      OMSTREAM this_file(_mask & mm);
-      if (_cpos[ii] > count) {
-	this_file << '\n';
-      }else{
-      }
-      while (_cpos[ii]<count) {
-	this_file << ' ';
-      }
-    }else{
-    }
-  }
-  return *this;
-}
-/*--------------------------------------------------------------------------*/
-/* mprintf: multiple printf
- * printf to "m" style files.
- */
-OMSTREAM & OMSTREAM::form(const char *fmt, ...)
-{
-  char buffer[BIGBUFLEN];
-  va_list arg_ptr;
-  
-  va_start(arg_ptr,fmt);
-  vsprintf(buffer,fmt,arg_ptr);
-  va_end(arg_ptr);
-  
-  *this << buffer;
-  return *this;
-}
-/*--------------------------------------------------------------------------*/
-/* mputs: multiple puts.
- * puts to "m" style files.
- * also....
- * starts new line, prefixes it with + if it would exceed width
- * width is handled separately for each file to support different widths
- * (which are not currently handled by .options)
- * and it is possible that current contents of lines may be different
- */
-OMSTREAM & OMSTREAM::operator<<(const char *str)
-{
-  assert(str);
-
-  if (_mask & 1) {
-    unreachable(); 
-    _mask &= ~1;
-    error(bDANGER, "internal error: out to stdin\n");
-  }else{
-  }
-
-  bool newline = false;	/* true if any destination is at beginning of line */
-  size_t sl = strlen(str);
-
-  /* kluge:  If a string ends with certain characters,
-   * require some extra space following it, possibly forcing to next line.
-   * Why? to avoid a line break between '=' and its argument.
-   */
-  if (sl == 0) {
-  }else if (strchr("@", str[sl-1])) {
-    sl += 16;
-  }else if (strchr("=", str[sl-1])) {
-    sl += 15;
-  }else if (strchr("(", str[sl-1])) {
-    sl += 12;
-  }else{
-  }
-
-  /* auto line break, with a '+' to continue. */
-  for (int ii=0, mm=1;   ii<=MAXHANDLE;   ++ii, mm<<=1) {
-    if ((_mask & mm)
-	&& (sl+_cpos[ii]) >= OPT::outwidth
-	&& _cpos[ii] != 0) {
-      OMSTREAM this_file(_mask & mm);
-      this_file << '\n' << '+';
-    }else{
-    }				/* see if it fits .... */
-    if (_cpos[ii]==0) {		/* if not, next line   */
-      newline = true;
-    }else{
-    }
-  }
-
-  if (cipher() && newline) {untested(); 
-    *this << '\t';
-  }else{
-  }
-
-  while (*str && (str[1] || *str != '@')) {
-    *this << *str++;
-  }
-  return *this;
-}
-/*--------------------------------------------------------------------------*/
-/* mputc: multiple putc
- * multiple putc
- * also....
- * crunch spaces, if selected
- * encripts, if selected
- * keeps track of character count
- */
-OMSTREAM & OMSTREAM::operator<<(char chr)
-{
-  if (_mask & 1) {
-    unreachable(); 
-    _mask &= ~1;
-    error(bDANGER, "internal error: out to stdin\n");
-  }else{
-  }
-
-  static int old = '\0';
-  static int cchr = 'w';		/* starting encryption seed	    */
-					/* arbitrary printable character    */
-  bool count;
-  if (chr=='\t') {itested();
-    chr = ' ';
-    count = false;
-  }else{
-    count = true;
-  }
-  
-  bool suppress = (pack() && old==' ' && chr==' ');
-  old = chr;
-  if (cipher() && !suppress && isprint(chr)) {untested(); 
-    cchr += static_cast<int>(chr);
-    while (!isascii(cchr)  ||  !isprint(cchr)) {untested();
-      cchr -= (0x7f-0x20);
-    }
-    chr = static_cast<char>(cchr);
-  }else{
-  }
-  
-  for (int ii=0, mm=1;   ii<=MAXHANDLE;   ++ii, mm<<=1) {
-    if (_mask & mm) {
-      assert(IO::stream[ii]);
-      if (chr=='\b') {untested();
-	--_cpos[ii];
-	fflush(IO::stream[ii]);
-      }else if (count) {
-	++_cpos[ii];
-      }else{itested();
-      }
-      
-      if (chr=='\n') {
-	_cpos[ii] = 0;
-	fflush(IO::stream[ii]);
-      }else if (chr=='\r') {itested();
-	if (_cpos[ii] == 0) {untested();
-	  suppress = true;
-	}else{itested();
-	  _cpos[ii] = 0;
-	  fflush(IO::stream[ii]);
-	}
-      }else{
-      }
-      if (!suppress) {
-	fputc(chr,IO::stream[ii]);
-      }else{itested();
-      }
-    }else{
-    }
-  }
-  return *this;
-}
-/*--------------------------------------------------------------------------*/
-/*--------------------------------------------------------------------------*/
diff --git a/modelgen/io_trace.h b/modelgen/io_trace.h
deleted file mode 100644
index d7affca..0000000
--- a/modelgen/io_trace.h
+++ /dev/null
@@ -1,82 +0,0 @@
-/*$Id: io_trace.h,v 26.81 2008/05/27 05:34:00 al Exp $ -*- C++ -*-
- * Copyright (C) 2001 Albert Davis
- * Author: Albert Davis <aldavis at gnu.org>
- *
- * This file is part of "Gnucap", the Gnu Circuit Analysis Package
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 3, or (at your option)
- * any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
- * 02110-1301, USA.
- *------------------------------------------------------------------
- * trace macros for model debugging
- */
-//testing=trivial 2006.07.17
-/* allow multiple inclusions with different DO_TRACE */
-#undef trace_line
-#undef trace0
-#undef trace1
-#undef trace2
-#undef trace3
-#undef trace4
-#undef trace5
-#undef untested
-#undef unreachable
-#undef incomplete
-/*--------------------------------------------------------------------------*/
-#ifdef DO_TRACE
-#define trace_line() (printf("@@#\n@#@:%s:%u:%s\n", \
-			   __FILE__, __LINE__, __func__))
-#define trace0(s) (printf("@#@%s\n", s))
-#define trace1(s,x) (printf("@#@%s  %s=%g\n", s, #x, (double)(x)))
-#define trace2(s,x,y) (printf("@#@%s  %s=%g  %s=%g\n",\
-	s, #x, (double)(x), #y, (double)(y)))
-#define trace3(s,x,y,z) (printf("@#@%s  %s=%g  %s=%g  %s=%g\n",\
-	s, #x, (double)(x), #y, (double)(y), #z, (double)(z)))
-#define trace4(s,w,x,y,z)(printf("@#@%s  %s=%g  %s=%g  %s=%g  %s=%g\n",\
-	s, #w, (double)(w), #x, (double)(x), #y, (double)(y), #z, (double)(z)))
-#define trace5(s,v,w,x,y,z)\
-	(printf("@#@%s  %s=%g  %s=%g  %s=%g  %s=%g  %s=%g\n",\
-	s, #v, (double)(v), #w, (double)(w), #x, (double)(x),\
-	#y, (double)(y), #z, (double)(z)))
-#else
-#define trace_line()
-#define trace0(s)
-#define trace1(s,x)
-#define trace2(s,x,y)
-#define trace3(s,x,y,z)
-#define trace4(s,w,x,y,z)
-#define trace5(s,v,w,x,y,z)
-#endif
-
-#define unreachable() (printf("@@#\n@@@unreachable:%s:%u:%s\n", \
-			   __FILE__, __LINE__, __func__))
-
-#define incomplete() (printf("@@#\n@@@incomplete:%s:%u:%s\n", \
-			   __FILE__, __LINE__, __func__))
-
-#ifdef TRACE_UNTESTED
-#define untested() (printf("@@#\n@@@:%s:%u:%s\n", \
-			   __FILE__, __LINE__, __func__))
-#else
-#define untested()
-#endif
-
-#ifdef TRACE_ITESTED
-#define itested() (printf("@@#\n@@@:%s:%u:%s\n", \
-			   __FILE__, __LINE__, __func__))
-#else
-#define itested()
-#endif
-/*--------------------------------------------------------------------------*/
-/*--------------------------------------------------------------------------*/
diff --git a/modelgen/l_lib.h b/modelgen/l_lib.h
deleted file mode 100644
index 9931044..0000000
--- a/modelgen/l_lib.h
+++ /dev/null
@@ -1,82 +0,0 @@
-/*$Id: l_lib.h,v 26.81 2008/05/27 05:34:00 al Exp $ -*- C++ -*-
- * Copyright (C) 2001 Albert Davis
- * Author: Albert Davis <aldavis at gnu.org>
- *
- * This file is part of "Gnucap", the Gnu Circuit Analysis Package
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 3, or (at your option)
- * any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
- * 02110-1301, USA.
- */
-//testing=script 2006.07.13
-#ifndef L_LIB_H
-#define L_LIB_H
-#include "md.h"
-/*--------------------------------------------------------------------------*/
-	  char*		trim(char*);
-INTERFACE bool		Umatch(const std::string&, const std::string&);
-INTERFACE bool		wmatch(const std::string& s1,const std::string& s2);
-INTERFACE std::string	to_string(unsigned);
-INTERFACE std::string	to_string(int);
-INTERFACE std::string	to_string(double);
-INTERFACE char*		ftos(double,int,int,int);
-/*--------------------------------------------------------------------------*/
-//ftos stuff
-enum {			/* formatting bit-fields */
-  ftos_DEFAULT = 0,	/* default formatting, with letters */
-  ftos_EXP = 1,		/* use 'e' notation, almost like printf */
-  ftos_SIGN = 2,	/* always include sign */
-  ftos_FILL = 4		/* fill in trailing zeros */
-};
-/*--------------------------------------------------------------------------*/
-// wrappers for old standard C lpbrary
-namespace OS {
-  inline void system(const std::string& s) {itested();
-    ::system(s.c_str());
-  }
-
-  inline void chdir(const std::string& s) {itested();
-    ::chdir(s.c_str());
-  }
-
-  inline void remove(const std::string& s) {itested();
-    ::remove(s.c_str());
-  }
-
-  inline bool access_ok(const std::string& file, int mode) {
-    return (::access(file.c_str(), mode) == 0/*file_ok*/);
-  }
-
-  inline std::string getcwd() {itested();
-    char buf[BUFLEN+1];
-    char* cwd = ::getcwd(buf,BUFLEN);
-    if (cwd) {itested();
-      return cwd;
-    }else{untested();
-      return "";
-    }
-  }
-
-  inline std::string getenv(const std::string& s) {
-    char* ev = ::getenv(s.c_str());
-    if (ev) {
-      return ev;
-    }else{itested();
-      return "";
-    }
-  }
-};
-/*--------------------------------------------------------------------------*/
-/*--------------------------------------------------------------------------*/
-#endif
diff --git a/modelgen/md.h b/modelgen/md.h
deleted file mode 100644
index 2baf70a..0000000
--- a/modelgen/md.h
+++ /dev/null
@@ -1,211 +0,0 @@
-/*$Id: md.h,v 26.112 2009/07/24 00:10:32 al Exp $ -*- C++ -*-
- * Copyright (C) 2001 Albert Davis
- * Author: Albert Davis <aldavis at gnu.org>
- *
- * This file is part of "Gnucap", the Gnu Circuit Analysis Package
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 3, or (at your option)
- * any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
- * 02110-1301, USA.
- *------------------------------------------------------------------
- * Machine dependent, configuration, and standard includes
- */
-//testing=trivial 2006.07.17
-#ifndef MD_H_INCLUDED
-#define MD_H_INCLUDED
-/*--------------------------------------------------------------------------*/
-/* autoconf stuff */
-#ifdef HAVE_CONFIG_H
-#include "config.h"
-#endif
-/*--------------------------------------------------------------------------*/
-/* std collection of includes */
-// system
-#include <new>
-#include <cstdarg>
-#include <cassert>
-#include <cfloat>
-#include <cmath>
-#include <climits>
-#include <limits>
-#include <cstdio>
-#include <cerrno>
-#include <csetjmp>
-#include <csignal>
-#include <cstring>
-#include <iostream>
-// types
-#include <complex>
-#include <string>
-// containers
-#include <list>
-#include <vector>
-#include <queue>
-#include <map>
-#include <valarray>
-// algorithms
-#include <typeinfo>
-#include <algorithm>
-/* usual but non-standard (POSIX??) collection of includes */
-#include <unistd.h>	/* chdir, access, getcwd */
-#include <fcntl.h>	/* old style unix files */
-/*--------------------------------------------------------------------------*/
-/* constants related to memory size, word size, etc */
-enum {
-  BUFLEN = 256,
-  BIGBUFLEN = 4096
-};
-/*--------------------------------------------------------------------------*/
-/* user interface preferences */
-#define I_PROMPT "gnucap> "
-#define CKT_PROMPT ">"
-#define ANTI_COMMENT "*>"
-#define DEFAULT_LANGUAGE "acs"
-/*--------------------------------------------------------------------------*/
-#if defined(__WIN32__)
-#define	ENDDIR		"/\\"
-#define PATHSEP		';'
-#define SYSTEMSTARTFILE	"gnucap.rc"
-#define SYSTEMSTARTPATH	OS::getenv("PATH")
-#define USERSTARTFILE	"gnucap.rc"
-#define	USERSTARTPATH	OS::getenv("HOME")
-#define STEPFILE   	"/tmp/SXXXXXX"
-#define SHELL		OS::getenv("COMSPEC")
-/*--------------------------------------------------------------------------*/
-#else
-#define	ENDDIR		"/"
-#define PATHSEP		':'
-#define SYSTEMSTARTFILE	"gnucap.rc"
-#define SYSTEMSTARTPATH	OS::getenv("PATH")
-#define USERSTARTFILE	".gnucaprc"
-#define	USERSTARTPATH	OS::getenv("HOME")
-#define STEPFILE   	"/tmp/SXXXXXX"
-#define SHELL		OS::getenv("SHELL")
-#endif
-/*--------------------------------------------------------------------------*/
-/* machine and compiler patches */
-#if defined(__MINGW32__)
-  #define SIGSETJMP_IS_BROKEN
-  #define MS_DLL
-#endif
-/*--------------------------------------------------------------------------*/
-/* some convenient names */
-typedef std::complex<double> COMPLEX;
-typedef std::pair<double,double> DPAIR;
-/*--------------------------------------------------------------------------*/
-// dynamic cast kluge.
-// Strictly, this should always be dynamic_cast, but if it has already
-// been checked, don't bother checking again, hence static_cast.
-// It works and is faster.
-#if defined(NDEBUG)
-  #define prechecked_cast static_cast
-#else
-  #define prechecked_cast dynamic_cast
-#endif
-
-/*--------------------------------------------------------------------------*/
-/* portability hacks */
-
-#if !defined(MS_DLL)
-  // The usual way for POSIX compliant systems
-  #include <dlfcn.h>
-  #define INTERFACE
-#else
-// Microsoft DLL hacks -- thanks to Holger Vogt and Cesar Strauss for the info
-// Make the MS DLL functions look like the posix ones.
-#include <windows.h>
-#undef min
-#undef max
-#undef INTERFACE
-  #ifdef MAKE_DLL
-    #define INTERFACE __declspec(dllimport)
-  #else
-    #define INTERFACE __declspec(dllexport)
-  #endif
-
-inline void* dlopen(const char* f, int)
-{
-  return LoadLibrary(const_cast<char*>(f));
-}
-
-inline void dlclose(void* h)
-{
-  FreeLibrary((HINSTANCE)h);
-}
-
-inline char* dlerror()
-{
-  static LPVOID lpMsgBuf = NULL;
-  // free the error message buffer
-  if (lpMsgBuf) {
-    LocalFree(lpMsgBuf);
-  }
-  // get the error code
-  DWORD dw = GetLastError();
-  // get the corresponding error message
-  FormatMessage(
-		FORMAT_MESSAGE_ALLOCATE_BUFFER |
-		FORMAT_MESSAGE_FROM_SYSTEM |
-		FORMAT_MESSAGE_IGNORE_INSERTS,
-		NULL,
-		dw,
-		MAKELANGID(LANG_NEUTRAL, SUBLANG_DEFAULT),
-		(LPTSTR) &lpMsgBuf,
-		0, NULL);
-  return (char*)lpMsgBuf;
-}
-#define RTLD_LAZY       0x00001 /* Lazy function call binding.  */
-#define RTLD_NOW        0x00002 /* Immediate function call binding.  */
-#define RTLD_BINDING_MASK   0x3 /* Mask of binding time value.  */
-#define RTLD_NOLOAD     0x00004 /* Do not load the object.  */
-#define RTLD_DEEPBIND   0x00008 /* Use deep binding.  */
-#define RTLD_GLOBAL     0x00100
-#define RTLD_LOCAL      0
-#define RTLD_NODELETE   0x01000
-#endif
-
-#if defined(SIGSETJMP_IS_BROKEN)
-  #undef sigjmp_buf
-  #undef siglongjmp
-  #undef sigsetjmp
-  #define sigjmp_buf jmp_buf
-  #define siglongjmp(a,b) longjmp(a,b)
-  #define sigsetjmp(a,b) setjmp(a)
-#endif
-
-#if !defined(SIGNALARGS)
-  #define SIGNALARGS int
-#endif
-/*--------------------------------------------------------------------------*/
-/* temporary hacks */
-enum RUN_MODE {
-  rPRE_MAIN,	/* it hasn't got to main yet			*/
-  rPRESET,	/* do set up commands now, but not simulation	*/
-		/* store parameters, so bare invocation of a	*/
-		/* simulation command will do it this way.	*/
-  rINTERACTIVE,	/* run the commands, interactively		*/
-  rSCRIPT,	/* execute now, as a command, then restore mode	*/
-  rBATCH	/* execute now, as a command, then exit		*/
-};
-class INTERFACE ENV {
-public:
-  static RUN_MODE run_mode; // variations on handling of dot commands
-};
-/*--------------------------------------------------------------------------*/
-/* my standard collection of includes */
-#include "io_trace.h"
-#include "io_error.h"
-/*--------------------------------------------------------------------------*/
-/*--------------------------------------------------------------------------*/
-#endif
diff --git a/modelgen/mode.h b/modelgen/mode.h
deleted file mode 100644
index 6d61ee1..0000000
--- a/modelgen/mode.h
+++ /dev/null
@@ -1,76 +0,0 @@
-/*$Id: mode.h,v 26.81 2008/05/27 05:34:00 al Exp $ -*- C++ -*-
- * Copyright (C) 2001 Albert Davis
- * Author: Albert Davis <aldavis at gnu.org>
- *
- * This file is part of "Gnucap", the Gnu Circuit Analysis Package
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 3, or (at your option)
- * any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
- * 02110-1301, USA.
- *------------------------------------------------------------------
- * several enumerated types to identify various modes
- */
-//testing=script,complete 2006.07.14
-#ifndef MODE_H
-#define MODE_H
-#include "io_.h"
-/*--------------------------------------------------------------------------*/
-enum smode_t   {moUNKNOWN=0, moANALOG=1, moDIGITAL, moMIXED};
-inline OMSTREAM& operator<<(OMSTREAM& o, smode_t t) {
-  const std::string s[] = {"unknown", "analog", "digital", "mixed"};
-  assert(t >= int(moUNKNOWN));
-  assert(t <= int(moMIXED));
-  return (o << s[t]);
-}
-
-enum SIM_MODE { // simulation types
-  s_NONE,	/* not doing anything, reset by cmd interpreter	*/
-  s_AC,  	/* AC analysis					*/
-  s_OP,  	/* op command					*/
-  s_DC,  	/* dc sweep command				*/
-  s_TRAN,	/* transient command				*/
-  s_FOURIER	/* fourier command				*/
-};
-const int sSTART = s_NONE;
-const int sCOUNT = s_FOURIER + 1;
-inline OMSTREAM& operator<<(OMSTREAM& o, SIM_MODE t) {
-  const std::string s[] = {"ALL", "AC", "OP", "DC", "TRAN", "FOURIER"};
-  assert(t >= int(s_NONE));
-  assert(t <= int(s_FOURIER));
-  return (o << s[t]);
-}
-
-enum SIM_PHASE { // which of the many steps...
-  p_NONE,	/* not doing anything, reset by cmd interpreter */
-  p_INIT_DC,	/* initial DC analysis				*/
-  p_DC_SWEEP,	/* DC analysis sweep, in progress		*/
-  p_TRAN, 	/* transient, in progress			*/
-  p_RESTORE	/* transient restore after stop			*/
-};
-
-
-enum PROBE_INDEX { // iter probes (continue after SIM_MODE)
-  iPRINTSTEP = sCOUNT,	/* iterations for this printed step		*/
-  iSTEP,		/* iterations this internal step		*/
-  iTOTAL		/* total iterations since startup		*/
-};
-const int iCOUNT = iTOTAL + 1;	/* number of iteration counters		*/
-
-/* control probes							*/
-#define cSTEPCAUSE (0)	/* what caused this time step			*/
-#define cSTEPS	   (1)	/* count of hidden steps (+ this unhidden)	*/
-#define cCOUNT	   (2)	/* number of control probes			*/
-/*--------------------------------------------------------------------------*/
-/*--------------------------------------------------------------------------*/
-#endif
diff --git a/modelgen/patchlev.h b/modelgen/patchlev.h
deleted file mode 100644
index b0bcf93..0000000
--- a/modelgen/patchlev.h
+++ /dev/null
@@ -1 +0,0 @@
-#define PATCHLEVEL "2009.12.07 RCS 26.136"
diff --git a/modelgen/u_opt.h b/modelgen/u_opt.h
deleted file mode 100644
index 92ea05a..0000000
--- a/modelgen/u_opt.h
+++ /dev/null
@@ -1,207 +0,0 @@
-/*$Id: u_opt.h,v 26.80 2008/05/27 02:18:47 al Exp $ -*- C++ -*-
- * Copyright (C) 2001 Albert Davis
- * Author: Albert Davis <aldavis at gnu.org>
- *
- * This file is part of "Gnucap", the Gnu Circuit Analysis Package
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 3, or (at your option)
- * any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
- * 02110-1301, USA.
- *------------------------------------------------------------------
- * all the options set by the .options card.
- */
-//testing=script,complete 2006.07.14
-#ifndef U_OPT_H
-#define U_OPT_H
-#include "mode.h"
-/*--------------------------------------------------------------------------*/
-class CS;
-class LANGUAGE;
-/*--------------------------------------------------------------------------*/
-/* integration method selector -- not all methods are implemented */
-enum method_t {meUNKNOWN=0,	// no method set
-	       meEULER,		// backware Euler, unless forced to other
-	       meEULERONLY,	// backward Euler only
-	       meTRAP,		// usually trap, but euler where better
-	       meTRAPONLY,	// always trapezoid
-	       meGEAR2,		// usually gear2, but euler where better
-	       meGEAR2ONLY,	// always gear2 (except breakpoints)
-	       meTRAPGEAR,	// alt trap & gear2
-	       meTRAPEULER,	// alt trap & euler
-	       meNUM_METHODS};	// number of methods (array dimension)
-inline OMSTREAM& operator<<(OMSTREAM& o, method_t t) {
-  const std::string s[] = {"unknown", "euler", "euleronly", "trap", "traponly",
-		     "gear2", "gear2only", "trapgear", "trapeuler"};
-  return (o << s[t]);
-}
-/*--------------------------------------------------------------------------*/
-enum order_t {oREVERSE=1, oFORWARD, oAUTO};
-inline OMSTREAM& operator<<(OMSTREAM& o, order_t t) {
-  const std::string s[] = {"", "reverse", "forward", "auto"};
-  return (o << s[t]);
-}
-/*--------------------------------------------------------------------------*/
-enum phase_t {pDEGREES, pRADIANS};
-inline OMSTREAM& operator<<(OMSTREAM& o, phase_t t) {
-  const std::string s[] = {"degrees", "radians"};
-  return (o << s[t]);
-}
-/*--------------------------------------------------------------------------*/
-enum UNITS {uSI, uSPICE};
-inline OMSTREAM& operator<<(OMSTREAM& o, UNITS t) {
-  const std::string s[] = {"si", "spice"};
-  return (o << s[t]);
-}
-/*--------------------------------------------------------------------------*/
-/* secant strategy is not implemented but it is a well known
- * method which may sometime be added
- */
-#ifdef KNEECHORD
-enum strategy_t {stNEWTON, stKNEECHORD, stSECANT};
-inline OMSTREAM& operator<<(OMSTREAM& o, strategy_t t) {untested();
-  const std::string s[] = {"newton", "kneechord", "secant"};
-  return (o << s[t]);
-}
-#endif
-/*--------------------------------------------------------------------------*/
-enum {dsINIT=001, dsRANGE=002, dsDEVLIMIT=004, dsDEVREGION=010, dsREVERSE=020};
-/*--------------------------------------------------------------------------*/
-class INTERFACE OPT {
-private:
-  explicit OPT(const OPT&) {unreachable(); incomplete();}
-public:
-  explicit OPT() {}
-  ~OPT() {}
-  void command(CS& cmd);
-private:
-  bool set_values(CS& cmd);
-  void print(OMSTREAM& where);
-public:
-  enum ITL {DCBIAS=1, DCXFER=2, TRLOW=3, TRHIGH=4, TRTOTAL=5, SSTEP=6,
-	WCASE=7, TRACE=8, ITL_COUNT=9};
-  enum {_keep_time_steps = 5};
-public:
-  static bool acct;	    // flag: print accounting info
-  static bool listing;	    // flag: print listing
-  static bool mod;	    // flag: print models
-  static bool page;	    // flag: do page ejects
-  static bool node;	    // flag: print node table
-  static bool opts;	    // flag: print options
-  static double gmin;	    // minimum conductance allowed
-  static double reltol;	    // relative error tolerance
-  static double abstol;	    // absolute current error tolerance
-  static double vntol;	    // absolute voltage error tolerance
-  static double trtol;	    // transient error overestimation factor
-  static double chgtol;	    // charge tolerance
-  static double pivtol;	    // minimum acceptable pivot
-  static double pivrel;	    // max to min ratio in a column?
-  static int numdgt;	    // number of digits to display
-  static double tnom_c;	    // nominal temperature
-  static int cptime;	    // max allowed cpu time (seconds)
-  static int limtim;	    // amt of time to reserve for plots
-  static int limpts;	    // max points to print
-  static int lvlcod;	    // enum: if == 2, solve fast
-  static int lvltim;	    // enum: how to control time step
-  static method_t method;   // enum: integration method
-  static int maxord;	    // max order of integration
-  static double defl;	    // MOS default channel length
-  static double defw;	    // MOS default channel width
-  static double defad;	    // MOS default drain diffusion area
-  static double defas;	    // MOS default source diffusion area
-  
-  static bool clobber;	    // allow to overwrite files without question
-  static bool keys_between_nodes; // allow keywords between nodes
-  static double floor;	    // display as zero if less than this
-  static double vfloor;	    // display voltages as zero if less than this  
-  static double dampmax;    // Newton-Raphson damping coefficient max
-  static double dampmin;    // Newton-Raphson damping coefficient min
-  static int dampstrategy;  // bit flags, damping strategy options
-  static double roundofftol;// rel tolerance for zeroing after subtraction
-  static double temp_c;    // ambient temperature
-  static double shortckt;   // short resistance
-  static int picky;	    // error picky-ness
-  static unsigned outwidth; // width of output devices
-  static double ydivisions; // plot divisions, y axis
-  static phase_t phase;	    // how to print phase (degrees or radians)
-  static order_t order;	    // ordering method
-  static smode_t mode;	    // mixed-mode mode preference
-  static int transits;	    // number of good transitions for digital
-  static bool dupcheck;	    // check for duplicates on read
-  static bool bypass;	    // bypass model evaluation, if appropriate
-  static bool incmode;	    // make incremental changes to the matrix
-  static bool lcbypass;	    // bypass L and C evaluation when appropriate
-  static bool lubypass;	    // bypass parts of LU decomposition, if appropriate
-  static bool fbbypass;	    // bypass fwd & back sub when last iter converged
-  static bool traceload;    // load only elements that need it, using queue
-  static int itermin;	    // forced min iteration count.
-  static double vmax;	    // + voltage limit for nonlinear calculations
-  static double vmin;	    // - voltage limit for nonlinear calculations
-  static double dtmin;	    // smallest internal step in transient analysis
-  static double dtratio;    // ratio of max / min dt in transient analysis
-  static bool rstray;	    // include stray resistors in models
-  static bool cstray;	    // include stray capacitors in models
-  static int harmonics;	    // number of harmonics in fourier analysis
-  static double trstepgrow; // limit of step size growth in transient analysis
-  static double trstephold; // hold step size growth, converges slowly
-  static double trstepshrink;// amt to shrink step size on convergence failure
-  static double trreject;   // how bad trunc error has to be to reject a step
-  static int trsteporder;   // interpolation order for step size control
-  static double trstepcoef[_keep_time_steps]; // coefficient for step size control
-  static bool showall;	    // flag: show development flags
-  static int foooo;	    // a reusable value to aid development
-  static int diodeflags;    // convergence heuristic flags for diode
-  static int mosflags;      // convergence heuristic flags for mosfet
-  static bool quitconvfail; // quit on convergence failure
-  static bool edit;	    // use readline - command editing
-  static int recursion;	    // max recursion depth
-  static LANGUAGE* language; // simulation language
-  static bool case_insensitive;
-  static UNITS units;
-  
-  static double lowlim;	    // 1 - reltol
-  static double uplim;	    // 1 + reltol
-  
-  static int itl[ITL_COUNT];// 1=dc (bias) iteration limit
-			    // 2=dc transfer iteration limit
-			    // 3=lower transient iteration limit
-			    // 4=upper transient iteration limit
-			    // 5=transient total iterations allowed
-			    // 6=source stepping iteration limit
-			    // 7=worst case iteration limit
-			    // 8=trace nonconvergence start iteration
-#ifdef KNEECHORD
-  static strategy_t strategy; // What method we use to encourage non-linear devices to converge
-#endif
-};
-/*--------------------------------------------------------------------------*/
-class SET_RUN_MODE {
-private:
-  RUN_MODE _old_run_mode;
-  explicit SET_RUN_MODE() :_old_run_mode(ENV::run_mode) {unreachable();}
-public:
-  explicit SET_RUN_MODE(RUN_MODE rm)
-    :_old_run_mode(ENV::run_mode) 
-  {
-    //std::cout << ENV::run_mode << '^' << rm << '\n';
-    ENV::run_mode = rm;
-  }
-  ~SET_RUN_MODE()
-  {
-    //std::cout << ENV::run_mode << 'v' << _old_run_mode << '\n';
-    ENV::run_mode = _old_run_mode;
-  }
-};
-/*--------------------------------------------------------------------------*/
-/*--------------------------------------------------------------------------*/
-#endif
diff --git a/modelgen/u_opt1.cc b/modelgen/u_opt1.cc
deleted file mode 100644
index fb3cfb7..0000000
--- a/modelgen/u_opt1.cc
+++ /dev/null
@@ -1,122 +0,0 @@
-/*$Id: u_opt1.cc,v 26.80 2008/05/27 02:18:47 al Exp $ -*- C++ -*-
- * Copyright (C) 2001 Albert Davis
- * Author: Albert Davis <aldavis at gnu.org>
- *
- * This file is part of "Gnucap", the Gnu Circuit Analysis Package
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; either version 3, or (at your option)
- * any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
- * 02110-1301, USA.
- *------------------------------------------------------------------
- * all the options set by the .options card.
- * initialization and declaration of statics
- */
-//testing=trivial 2006.07.17
-#include "u_opt.h"
-
-bool	OPT::acct = false;
-bool	OPT::listing = false;
-bool	OPT::mod = true;
-bool	OPT::page = false;
-bool	OPT::node = false;
-bool	OPT::opts = false;
-double	OPT::gmin = 1e-12;
-double	OPT::reltol = .001;
-double	OPT::abstol = 1e-12;
-double	OPT::vntol = 1e-6;
-double	OPT::trtol = 7.0;
-double	OPT::chgtol = 1e-14;
-double	OPT::pivtol = 1e-13;
-double	OPT::pivrel = 1e-3;
-int	OPT::numdgt = 5;
-double	OPT::tnom_c = 27.0;
-int	OPT::cptime = 30000;
-int	OPT::limtim = 2;
-int	OPT::limpts = 201;
-int	OPT::lvlcod = 2;
-int	OPT::lvltim = 2;
-method_t OPT::method = meTRAP;
-int	OPT::maxord = 2;
-double	OPT::defl = 100e-6;
-double	OPT::defw = 100e-6;
-double	OPT::defad = 0.;
-double	OPT::defas = 0.;
-
-bool	OPT::clobber = true;
-bool	OPT::keys_between_nodes = true;
-double	OPT::dampmax = 1.0;
-double	OPT::dampmin = 0.5;
-int	OPT::dampstrategy = 0; //dsINIT|dsDEVREGION|dsREVERSE;
-double	OPT::floor = 1e-21;
-double	OPT::vfloor = 1e-15;
-double	OPT::roundofftol = 1e-13;
-double	OPT::temp_c = 27.0;
-double	OPT::shortckt = 10e-6;
-int	OPT::picky = bPICKY;
-unsigned OPT::outwidth = 9999;
-double	OPT::ydivisions = 4.;
-phase_t	OPT::phase = pDEGREES;
-order_t	OPT::order = oAUTO;
-smode_t	OPT::mode = moMIXED;
-int	OPT::transits = 2;
-bool	OPT::dupcheck = false;
-bool	OPT::bypass = true;
-bool	OPT::incmode = true;
-bool	OPT::lcbypass = true;
-bool	OPT::lubypass = true;
-bool	OPT::fbbypass = true;
-bool	OPT::traceload = true;
-int	OPT::itermin = 1;
-double	OPT::vmax =  5;
-double	OPT::vmin = -5;
-double	OPT::dtmin = 1e-12;
-double	OPT::dtratio = 1e9;
-bool	OPT::rstray = true;
-bool	OPT::cstray = true;
-int	OPT::harmonics = 9;
-double	OPT::trstepgrow = 1e99;
-double	OPT::trstephold = 1e99;
-double	OPT::trstepshrink = 2.;		/* spice is fixed at 8 */
-double	OPT::trreject = .5;
-int	OPT::trsteporder = 3;
-double	OPT::trstepcoef[_keep_time_steps] = {1., 1./4., 1./24., 1./192.};
-
-bool	OPT::showall = false;
-int	OPT::foooo = 0;
-int	OPT::diodeflags = 0;
-int	OPT::mosflags = 0;
-bool	OPT::quitconvfail = false;
-bool	OPT::edit = true;
-int	OPT::recursion = 20;
-LANGUAGE* OPT::language = NULL;
-bool	OPT::case_insensitive = false;
-UNITS	OPT::units = uSI;
-double	OPT::lowlim = 1. - OPT::reltol;
-double	OPT::uplim = 1. + OPT::reltol;
-
-int	OPT::itl[OPT::ITL_COUNT] = { 
-		100,	/* 0=dummy */
-		100,	/* 1=dc (bias) iteration limit */
-		50, 	/* 2=dc transfer iteration limit */
-		6,	/* 3=lower transient iteration limit (spice is 4) */
-		20,	/* 4=upper transient iteration limit (spice is 10) */
-		5000,	/* 5=transient total iterations allowed */
-		0,	/* 6=source stepping iteration limit */
-		1,	/* 7=worst case iteration limit */
-		99	/* 8=trace nonconvergence start iteration */
-};
-
-#ifdef KNEECHORD
-strategy_t OPT::strategy = stNEWTON; /* Default is Newton's Method, fastest */
-#endif
diff --git a/src/Make.depend b/src/Make.depend
deleted file mode 100644
index 89dc8d7..0000000
--- a/src/Make.depend
+++ /dev/null
@@ -1,532 +0,0 @@
-d_mos1.o: d_mos1.cc globals.h l_dispatcher.h l_stlextra.h md.h io_trace.h \
- io_error.h u_opt.h mode.h io_.h l_lib.h ap.h e_elemnt.h e_node.h \
- u_sim_data.h constant.h l_compar.h m_matrix.h e_base.h m_cpoly.h \
- l_denoise.h e_compon.h u_time_pair.h u_parameter.h m_expression.h \
- m_base.h e_cardlist.h e_card.h d_mos1.h d_mos123.h d_mos_base.h d_mos.h \
- d_diode.h u_sdp.h e_subckt.h e_model.h
-d_mos2.o: d_mos2.cc l_compar.h md.h io_trace.h io_error.h l_denoise.h \
- u_opt.h mode.h io_.h l_lib.h globals.h l_dispatcher.h l_stlextra.h ap.h \
- e_elemnt.h e_node.h u_sim_data.h constant.h m_matrix.h e_base.h \
- m_cpoly.h e_compon.h u_time_pair.h u_parameter.h m_expression.h m_base.h \
- e_cardlist.h e_card.h d_mos2.h d_mos123.h d_mos_base.h d_mos.h d_diode.h \
- u_sdp.h e_subckt.h e_model.h
-d_mos3.o: d_mos3.cc l_denoise.h u_opt.h mode.h io_.h l_lib.h md.h \
- io_trace.h io_error.h globals.h l_dispatcher.h l_stlextra.h ap.h \
- e_elemnt.h e_node.h u_sim_data.h constant.h l_compar.h m_matrix.h \
- e_base.h m_cpoly.h e_compon.h u_time_pair.h u_parameter.h m_expression.h \
- m_base.h e_cardlist.h e_card.h d_mos3.h d_mos123.h d_mos_base.h d_mos.h \
- d_diode.h u_sdp.h e_subckt.h e_model.h
-d_mos4.o: d_mos4.cc globals.h l_dispatcher.h l_stlextra.h md.h io_trace.h \
- io_error.h u_opt.h mode.h io_.h l_lib.h ap.h e_elemnt.h e_node.h \
- u_sim_data.h constant.h l_compar.h m_matrix.h e_base.h m_cpoly.h \
- l_denoise.h e_compon.h u_time_pair.h u_parameter.h m_expression.h \
- m_base.h e_cardlist.h e_card.h d_mos4.h d_mos_base.h d_mos.h d_diode.h \
- u_sdp.h e_subckt.h e_model.h
-d_mos5.o: d_mos5.cc globals.h l_dispatcher.h l_stlextra.h md.h io_trace.h \
- io_error.h u_opt.h mode.h io_.h l_lib.h ap.h e_elemnt.h e_node.h \
- u_sim_data.h constant.h l_compar.h m_matrix.h e_base.h m_cpoly.h \
- l_denoise.h e_compon.h u_time_pair.h u_parameter.h m_expression.h \
- m_base.h e_cardlist.h e_card.h d_mos5.h d_mos_base.h d_mos.h d_diode.h \
- u_sdp.h e_subckt.h e_model.h
-d_mos6.o: d_mos6.cc l_denoise.h u_opt.h mode.h io_.h l_lib.h md.h \
- io_trace.h io_error.h globals.h l_dispatcher.h l_stlextra.h ap.h \
- e_elemnt.h e_node.h u_sim_data.h constant.h l_compar.h m_matrix.h \
- e_base.h m_cpoly.h e_compon.h u_time_pair.h u_parameter.h m_expression.h \
- m_base.h e_cardlist.h e_card.h d_mos6.h d_mos123.h d_mos_base.h d_mos.h \
- d_diode.h u_sdp.h e_subckt.h e_model.h
-d_mos7.o: d_mos7.cc l_compar.h md.h io_trace.h io_error.h l_denoise.h \
- u_opt.h mode.h io_.h l_lib.h globals.h l_dispatcher.h l_stlextra.h ap.h \
- e_elemnt.h e_node.h u_sim_data.h constant.h m_matrix.h e_base.h \
- m_cpoly.h e_compon.h u_time_pair.h u_parameter.h m_expression.h m_base.h \
- e_cardlist.h e_card.h d_mos7.h d_mos_base.h d_mos.h d_diode.h u_sdp.h \
- e_subckt.h e_model.h
-d_mos8.o: d_mos8.cc l_compar.h md.h io_trace.h io_error.h l_denoise.h \
- u_opt.h mode.h io_.h l_lib.h globals.h l_dispatcher.h l_stlextra.h ap.h \
- e_elemnt.h e_node.h u_sim_data.h constant.h m_matrix.h e_base.h \
- m_cpoly.h e_compon.h u_time_pair.h u_parameter.h m_expression.h m_base.h \
- e_cardlist.h e_card.h d_mos8.h d_mos_base.h d_mos.h d_diode.h u_sdp.h \
- e_subckt.h e_model.h
-d_mos123.o: d_mos123.cc globals.h l_dispatcher.h l_stlextra.h md.h \
- io_trace.h io_error.h u_opt.h mode.h io_.h l_lib.h ap.h e_elemnt.h \
- e_node.h u_sim_data.h constant.h l_compar.h m_matrix.h e_base.h \
- m_cpoly.h l_denoise.h e_compon.h u_time_pair.h u_parameter.h \
- m_expression.h m_base.h e_cardlist.h e_card.h d_mos123.h d_mos_base.h \
- d_mos.h d_diode.h u_sdp.h e_subckt.h e_model.h
-d_mos_base.o: d_mos_base.cc globals.h l_dispatcher.h l_stlextra.h md.h \
- io_trace.h io_error.h u_opt.h mode.h io_.h l_lib.h ap.h e_elemnt.h \
- e_node.h u_sim_data.h constant.h l_compar.h m_matrix.h e_base.h \
- m_cpoly.h l_denoise.h e_compon.h u_time_pair.h u_parameter.h \
- m_expression.h m_base.h e_cardlist.h e_card.h d_mos_base.h d_mos.h \
- d_diode.h u_sdp.h e_subckt.h e_model.h
-d_mos.o: d_mos.cc u_limit.h md.h io_trace.h io_error.h e_storag.h \
- e_elemnt.h e_node.h u_sim_data.h constant.h l_compar.h u_opt.h mode.h \
- io_.h l_lib.h m_matrix.h l_stlextra.h e_base.h m_cpoly.h l_denoise.h \
- e_compon.h u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h \
- m_expression.h m_base.h e_cardlist.h e_card.h d_mos_base.h d_mos.h \
- d_diode.h u_sdp.h e_subckt.h e_model.h
-d_bjt.o: d_bjt.cc u_limit.h md.h io_trace.h io_error.h globals.h \
- l_dispatcher.h l_stlextra.h u_opt.h mode.h io_.h l_lib.h ap.h e_elemnt.h \
- e_node.h u_sim_data.h constant.h l_compar.h m_matrix.h e_base.h \
- m_cpoly.h l_denoise.h e_compon.h u_time_pair.h u_parameter.h \
- m_expression.h m_base.h e_cardlist.h e_card.h d_bjt.h d_diode.h u_sdp.h \
- e_subckt.h e_model.h
-d_diode.o: d_diode.cc e_aux.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h e_storag.h e_elemnt.h m_cpoly.h l_denoise.h \
- e_compon.h u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h \
- m_expression.h m_base.h e_cardlist.h e_card.h d_diode.h u_sdp.h \
- e_subckt.h e_model.h
-d_admit.o: d_admit.cc e_elemnt.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h u_time_pair.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h e_card.h
-d_cap.o: d_cap.cc e_storag.h e_elemnt.h e_node.h u_sim_data.h constant.h \
- md.h io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h \
- m_matrix.h l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h \
- u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h \
- m_base.h e_cardlist.h e_card.h
-d_cccs.o: d_cccs.cc e_ccsrc.h e_elemnt.h e_node.h u_sim_data.h constant.h \
- md.h io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h \
- m_matrix.h l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h \
- u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h \
- m_base.h e_cardlist.h e_card.h
-d_ccvs.o: d_ccvs.cc e_ccsrc.h e_elemnt.h e_node.h u_sim_data.h constant.h \
- md.h io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h \
- m_matrix.h l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h \
- u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h \
- m_base.h e_cardlist.h e_card.h
-d_coil.o: d_coil.cc e_subckt.h e_compon.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h \
- m_expression.h m_base.h e_cardlist.h e_card.h e_base.h e_ccsrc.h \
- e_elemnt.h e_node.h m_cpoly.h l_denoise.h e_storag.h
-d_cs.o: d_cs.cc e_elemnt.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h u_time_pair.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h e_card.h
-d_poly_g.o: d_poly_g.cc e_elemnt.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h u_time_pair.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h e_card.h
-d_poly_cap.o: d_poly_cap.cc e_storag.h e_elemnt.h e_node.h u_sim_data.h \
- constant.h md.h io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h \
- l_lib.h m_matrix.h l_stlextra.h e_base.h m_cpoly.h l_denoise.h \
- e_compon.h u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h \
- m_expression.h m_base.h e_cardlist.h e_card.h
-d_res.o: d_res.cc e_elemnt.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h u_time_pair.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h e_card.h
-d_switch.o: d_switch.cc e_model.h u_parameter.h globals.h l_dispatcher.h \
- l_stlextra.h md.h io_trace.h io_error.h u_opt.h mode.h io_.h l_lib.h \
- ap.h m_expression.h m_base.h constant.h e_cardlist.h e_card.h e_base.h \
- e_elemnt.h e_node.h u_sim_data.h l_compar.h m_matrix.h m_cpoly.h \
- l_denoise.h e_compon.h u_time_pair.h
-d_trln.o: d_trln.cc m_wave.h l_denoise.h u_opt.h mode.h io_.h l_lib.h \
- md.h io_trace.h io_error.h m_interp.h m_cpoly.h constant.h e_elemnt.h \
- e_node.h u_sim_data.h l_compar.h m_matrix.h l_stlextra.h e_base.h \
- e_compon.h u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h \
- m_expression.h m_base.h e_cardlist.h e_card.h
-d_vcg.o: d_vcg.cc e_elemnt.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h u_time_pair.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h e_card.h
-d_vcr.o: d_vcr.cc e_elemnt.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h u_time_pair.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h e_card.h
-d_vcvs.o: d_vcvs.cc e_elemnt.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h u_time_pair.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h e_card.h
-d_vs.o: d_vs.cc e_elemnt.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h u_time_pair.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h e_card.h
-bm_complex.o: bm_complex.cc e_elemnt.h e_node.h u_sim_data.h constant.h \
- md.h io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h \
- m_matrix.h l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h \
- u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h \
- m_base.h e_cardlist.h e_card.h bm.h
-bm_cond.o: bm_cond.cc e_elemnt.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h u_time_pair.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h e_card.h bm.h
-bm_exp.o: bm_exp.cc e_elemnt.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h u_time_pair.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h e_card.h u_lang.h bm.h
-bm_fit.o: bm_fit.cc u_lang.h u_opt.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h e_elemnt.h e_node.h u_sim_data.h constant.h l_compar.h \
- m_matrix.h l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h \
- u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h \
- m_base.h e_cardlist.h e_card.h m_spline.h bm.h
-bm_generator.o: bm_generator.cc e_elemnt.h e_node.h u_sim_data.h \
- constant.h md.h io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h \
- l_lib.h m_matrix.h l_stlextra.h e_base.h m_cpoly.h l_denoise.h \
- e_compon.h u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h \
- m_expression.h m_base.h e_cardlist.h e_card.h bm.h
-bm_model.o: bm_model.cc e_model.h u_parameter.h globals.h l_dispatcher.h \
- l_stlextra.h md.h io_trace.h io_error.h u_opt.h mode.h io_.h l_lib.h \
- ap.h m_expression.h m_base.h constant.h e_cardlist.h e_card.h e_base.h \
- bm.h e_compon.h u_sim_data.h l_compar.h m_matrix.h u_time_pair.h
-bm_poly.o: bm_poly.cc u_lang.h u_opt.h mode.h io_.h l_lib.h md.h \
- io_trace.h io_error.h e_elemnt.h e_node.h u_sim_data.h constant.h \
- l_compar.h m_matrix.h l_stlextra.h e_base.h m_cpoly.h l_denoise.h \
- e_compon.h u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h \
- m_expression.h m_base.h e_cardlist.h e_card.h bm.h
-bm_posy.o: bm_posy.cc u_lang.h u_opt.h mode.h io_.h l_lib.h md.h \
- io_trace.h io_error.h e_elemnt.h e_node.h u_sim_data.h constant.h \
- l_compar.h m_matrix.h l_stlextra.h e_base.h m_cpoly.h l_denoise.h \
- e_compon.h u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h \
- m_expression.h m_base.h e_cardlist.h e_card.h bm.h
-bm_pulse.o: bm_pulse.cc e_elemnt.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h u_time_pair.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h e_card.h u_lang.h bm.h
-bm_pwl.o: bm_pwl.cc u_lang.h u_opt.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h e_elemnt.h e_node.h u_sim_data.h constant.h l_compar.h \
- m_matrix.h l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h \
- u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h \
- m_base.h e_cardlist.h e_card.h m_interp.h bm.h
-bm_sffm.o: bm_sffm.cc e_elemnt.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h u_time_pair.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h e_card.h u_lang.h bm.h
-bm_sin.o: bm_sin.cc e_elemnt.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h u_time_pair.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h e_card.h u_lang.h bm.h
-bm_tanh.o: bm_tanh.cc u_lang.h u_opt.h mode.h io_.h l_lib.h md.h \
- io_trace.h io_error.h e_elemnt.h e_node.h u_sim_data.h constant.h \
- l_compar.h m_matrix.h l_stlextra.h e_base.h m_cpoly.h l_denoise.h \
- e_compon.h u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h \
- m_expression.h m_base.h e_cardlist.h e_card.h bm.h
-bmm_table.o: bmm_table.cc u_lang.h u_opt.h mode.h io_.h l_lib.h md.h \
- io_trace.h io_error.h e_elemnt.h e_node.h u_sim_data.h constant.h \
- l_compar.h m_matrix.h l_stlextra.h e_base.h m_cpoly.h l_denoise.h \
- e_compon.h u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h \
- m_expression.h m_base.h e_cardlist.h e_card.h m_spline.h e_model.h bm.h
-bmm_semi.o: bmm_semi.cc u_lang.h u_opt.h mode.h io_.h l_lib.h md.h \
- io_trace.h io_error.h e_model.h u_parameter.h globals.h l_dispatcher.h \
- l_stlextra.h ap.h m_expression.h m_base.h constant.h e_cardlist.h \
- e_card.h e_base.h bm.h e_compon.h u_sim_data.h l_compar.h m_matrix.h \
- u_time_pair.h
-c_clear.o: c_clear.cc c_comand.h e_card.h e_base.h md.h io_trace.h \
- io_error.h globals.h l_dispatcher.h l_stlextra.h u_opt.h mode.h io_.h \
- l_lib.h ap.h
-c_comand.o: c_comand.cc constant.h md.h io_trace.h io_error.h c_comand.h \
- e_card.h e_base.h globals.h l_dispatcher.h l_stlextra.h u_opt.h mode.h \
- io_.h l_lib.h ap.h
-c_delete.o: c_delete.cc d_subckt.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h e_subckt.h e_compon.h u_time_pair.h u_parameter.h \
- globals.h l_dispatcher.h ap.h m_expression.h m_base.h e_cardlist.h \
- e_card.h c_comand.h
-c_exp.o: c_exp.cc globals.h l_dispatcher.h l_stlextra.h md.h io_trace.h \
- io_error.h u_opt.h mode.h io_.h l_lib.h ap.h m_expression.h m_base.h \
- constant.h c_comand.h e_card.h e_base.h
-c_list.o: c_list.cc e_cardlist.h md.h io_trace.h io_error.h u_lang.h \
- u_opt.h mode.h io_.h l_lib.h c_comand.h e_card.h e_base.h globals.h \
- l_dispatcher.h l_stlextra.h ap.h
-c_measure.o: c_measure.cc u_parameter.h globals.h l_dispatcher.h \
- l_stlextra.h md.h io_trace.h io_error.h u_opt.h mode.h io_.h l_lib.h \
- ap.h m_expression.h m_base.h constant.h e_cardlist.h u_function.h \
- c_comand.h e_card.h e_base.h
-c_modify.o: c_modify.cc e_elemnt.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h u_time_pair.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h e_card.h u_cardst.h c_comand.h
-c_param.o: c_param.cc c_comand.h e_card.h e_base.h md.h io_trace.h \
- io_error.h u_parameter.h globals.h l_dispatcher.h l_stlextra.h u_opt.h \
- mode.h io_.h l_lib.h ap.h m_expression.h m_base.h constant.h \
- e_cardlist.h
-c_prbcmd.o: c_prbcmd.cc u_sim_data.h constant.h md.h io_trace.h \
- io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h c_comand.h e_card.h e_base.h u_prblst.h u_probe.h globals.h \
- l_dispatcher.h ap.h
-c_status.o: c_status.cc u_sim_data.h constant.h md.h io_trace.h \
- io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h u_status.h l_timer.h c_comand.h e_card.h e_base.h globals.h \
- l_dispatcher.h ap.h
-c_sweep.o: c_sweep.cc c_comand.h e_card.h e_base.h md.h io_trace.h \
- io_error.h globals.h l_dispatcher.h l_stlextra.h u_opt.h mode.h io_.h \
- l_lib.h ap.h
-c_sim.o: c_sim.cc u_sim_data.h constant.h md.h io_trace.h io_error.h \
- l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h l_stlextra.h \
- c_comand.h e_card.h e_base.h globals.h l_dispatcher.h ap.h
-c_system.o: c_system.cc c_comand.h e_card.h e_base.h md.h io_trace.h \
- io_error.h globals.h l_dispatcher.h l_stlextra.h u_opt.h mode.h io_.h \
- l_lib.h ap.h
-s_ac.o: s_ac.cc u_sim_data.h constant.h md.h io_trace.h io_error.h \
- l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h l_stlextra.h \
- u_status.h l_timer.h u_parameter.h globals.h l_dispatcher.h ap.h \
- m_expression.h m_base.h e_cardlist.h u_prblst.h u_probe.h s__.h \
- c_comand.h e_card.h e_base.h
-s_dc.o: s_dc.cc u_status.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h l_timer.h u_prblst.h u_probe.h l_compar.h u_cardst.h \
- e_compon.h u_sim_data.h constant.h u_opt.h m_matrix.h l_stlextra.h \
- u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h \
- m_base.h e_cardlist.h e_card.h e_base.h e_elemnt.h e_node.h m_cpoly.h \
- l_denoise.h s__.h c_comand.h
-s_fo.o: s_fo.cc u_sim_data.h constant.h md.h io_trace.h io_error.h \
- l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h l_stlextra.h \
- u_status.h l_timer.h m_phase.h declare.h u_prblst.h u_probe.h s_tr.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h s__.h c_comand.h e_card.h e_base.h
-s_tr.o: s_tr.cc u_sim_data.h constant.h md.h io_trace.h io_error.h \
- l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h l_stlextra.h \
- u_status.h l_timer.h s_tr.h u_parameter.h globals.h l_dispatcher.h ap.h \
- m_expression.h m_base.h e_cardlist.h s__.h c_comand.h e_card.h e_base.h
-s_tr_set.o: s_tr_set.cc u_sim_data.h constant.h md.h io_trace.h \
- io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h u_prblst.h u_probe.h ap.h s_tr.h u_parameter.h globals.h \
- l_dispatcher.h m_expression.h m_base.h e_cardlist.h s__.h c_comand.h \
- e_card.h e_base.h
-s_tr_swp.o: s_tr_swp.cc u_time_pair.h constant.h md.h io_trace.h \
- io_error.h u_sim_data.h l_compar.h u_opt.h mode.h io_.h l_lib.h \
- m_matrix.h l_stlextra.h u_status.h l_timer.h declare.h s_tr.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h s__.h c_comand.h e_card.h e_base.h
-lang_spice.o: lang_spice.cc u_status.h mode.h io_.h l_lib.h md.h \
- io_trace.h io_error.h l_timer.h c_comand.h e_card.h e_base.h d_dot.h \
- d_coment.h d_subckt.h e_node.h u_sim_data.h constant.h l_compar.h \
- u_opt.h m_matrix.h l_stlextra.h e_subckt.h e_compon.h u_time_pair.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h u_lang.h d_logic.h e_model.h e_elemnt.h m_cpoly.h \
- l_denoise.h bm.h
-lang_spectre.o: lang_spectre.cc c_comand.h e_card.h e_base.h md.h \
- io_trace.h io_error.h d_dot.h d_coment.h d_subckt.h e_node.h \
- u_sim_data.h constant.h l_compar.h u_opt.h mode.h io_.h l_lib.h \
- m_matrix.h l_stlextra.h e_subckt.h e_compon.h u_time_pair.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h e_model.h u_lang.h
-lang_verilog.o: lang_verilog.cc c_comand.h e_card.h e_base.h md.h \
- io_trace.h io_error.h d_dot.h d_coment.h d_subckt.h e_node.h \
- u_sim_data.h constant.h l_compar.h u_opt.h mode.h io_.h l_lib.h \
- m_matrix.h l_stlextra.h e_subckt.h e_compon.h u_time_pair.h \
- u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h m_base.h \
- e_cardlist.h e_model.h u_lang.h
-func_core.o: func_core.cc u_parameter.h globals.h l_dispatcher.h \
- l_stlextra.h md.h io_trace.h io_error.h u_opt.h mode.h io_.h l_lib.h \
- ap.h m_expression.h m_base.h constant.h e_cardlist.h u_function.h
-measure_eval.o: measure_eval.cc u_parameter.h globals.h l_dispatcher.h \
- l_stlextra.h md.h io_trace.h io_error.h u_opt.h mode.h io_.h l_lib.h \
- ap.h m_expression.h m_base.h constant.h e_cardlist.h u_function.h
-measure_max.o: measure_max.cc u_parameter.h globals.h l_dispatcher.h \
- l_stlextra.h md.h io_trace.h io_error.h u_opt.h mode.h io_.h l_lib.h \
- ap.h m_expression.h m_base.h constant.h e_cardlist.h m_wave.h \
- l_denoise.h m_interp.h m_cpoly.h u_function.h
-measure_min.o: measure_min.cc u_parameter.h globals.h l_dispatcher.h \
- l_stlextra.h md.h io_trace.h io_error.h u_opt.h mode.h io_.h l_lib.h \
- ap.h m_expression.h m_base.h constant.h e_cardlist.h m_wave.h \
- l_denoise.h m_interp.h m_cpoly.h u_function.h
-measure_slewrate.o: measure_slewrate.cc l_compar.h md.h io_trace.h \
- io_error.h u_parameter.h globals.h l_dispatcher.h l_stlextra.h u_opt.h \
- mode.h io_.h l_lib.h ap.h m_expression.h m_base.h constant.h \
- e_cardlist.h m_wave.h l_denoise.h m_interp.h m_cpoly.h u_function.h
-measure_cross.o: measure_cross.cc u_parameter.h globals.h l_dispatcher.h \
- l_stlextra.h md.h io_trace.h io_error.h u_opt.h mode.h io_.h l_lib.h \
- ap.h m_expression.h m_base.h constant.h e_cardlist.h m_wave.h \
- l_denoise.h m_interp.h m_cpoly.h u_function.h
-measure_integral.o: measure_integral.cc u_parameter.h globals.h \
- l_dispatcher.h l_stlextra.h md.h io_trace.h io_error.h u_opt.h mode.h \
- io_.h l_lib.h ap.h m_expression.h m_base.h constant.h e_cardlist.h \
- m_wave.h l_denoise.h m_interp.h m_cpoly.h u_function.h
-measure_average.o: measure_average.cc u_parameter.h globals.h \
- l_dispatcher.h l_stlextra.h md.h io_trace.h io_error.h u_opt.h mode.h \
- io_.h l_lib.h ap.h m_expression.h m_base.h constant.h e_cardlist.h \
- m_wave.h l_denoise.h m_interp.h m_cpoly.h u_function.h
-measure_rms.o: measure_rms.cc u_parameter.h globals.h l_dispatcher.h \
- l_stlextra.h md.h io_trace.h io_error.h u_opt.h mode.h io_.h l_lib.h \
- ap.h m_expression.h m_base.h constant.h e_cardlist.h m_wave.h \
- l_denoise.h m_interp.h m_cpoly.h u_function.h
-measure_at.o: measure_at.cc u_parameter.h globals.h l_dispatcher.h \
- l_stlextra.h md.h io_trace.h io_error.h u_opt.h mode.h io_.h l_lib.h \
- ap.h m_expression.h m_base.h constant.h e_cardlist.h m_wave.h \
- l_denoise.h m_interp.h m_cpoly.h u_function.h
-m_expression_dump.o: m_expression_dump.cc m_expression.h m_base.h l_lib.h \
- md.h io_trace.h io_error.h ap.h constant.h
-m_expression_in.o: m_expression_in.cc m_expression.h m_base.h l_lib.h \
- md.h io_trace.h io_error.h ap.h constant.h
-m_expression_reduce.o: m_expression_reduce.cc u_function.h md.h \
- io_trace.h io_error.h u_parameter.h globals.h l_dispatcher.h \
- l_stlextra.h u_opt.h mode.h io_.h l_lib.h ap.h m_expression.h m_base.h \
- constant.h e_cardlist.h
-m_base_in.o: m_base_in.cc m_base.h l_lib.h md.h io_trace.h io_error.h \
- ap.h constant.h
-m_base_math.o: m_base_math.cc m_base.h l_lib.h md.h io_trace.h io_error.h \
- ap.h constant.h
-m_fft.o: m_fft.cc constant.h md.h io_trace.h io_error.h declare.h
-m_spline.o: m_spline.cc m_cpoly.h constant.h md.h io_trace.h io_error.h \
- l_denoise.h u_opt.h mode.h io_.h l_lib.h m_spline.h u_parameter.h \
- globals.h l_dispatcher.h l_stlextra.h ap.h m_expression.h m_base.h \
- e_cardlist.h
-ap_construct.o: ap_construct.cc u_opt.h mode.h io_.h l_lib.h md.h \
- io_trace.h io_error.h ap.h
-ap_convert.o: ap_convert.cc u_opt.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h ap.h
-ap_error.o: ap_error.cc u_opt.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h ap.h
-ap_get.o: ap_get.cc ap.h md.h io_trace.h io_error.h
-ap_match.o: ap_match.cc u_opt.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h ap.h
-ap_skip.o: ap_skip.cc ap.h md.h io_trace.h io_error.h
-l_ftos.o: l_ftos.cc l_lib.h md.h io_trace.h io_error.h constant.h
-l_pmatch.o: l_pmatch.cc ap.h md.h io_trace.h io_error.h l_lib.h
-l_timer.o: l_timer.cc l_timer.h io_.h l_lib.h md.h io_trace.h io_error.h
-l_trim.o: l_trim.cc
-l_wmatch.o: l_wmatch.cc u_opt.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h
-io.o: io.cc io_.h l_lib.h md.h io_trace.h io_error.h
-io_contr.o: io_contr.cc io_.h l_lib.h md.h io_trace.h io_error.h ap.h
-io_error.o: io_error.cc ap.h md.h io_trace.h io_error.h u_opt.h mode.h \
- io_.h l_lib.h
-io_findf.o: io_findf.cc l_lib.h md.h io_trace.h io_error.h
-io_out.o: io_out.cc u_opt.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h
-io_xopen.o: io_xopen.cc u_opt.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h constant.h ap.h
-u_function.o: u_function.cc e_base.h md.h io_trace.h io_error.h \
- u_function.h
-u_lang.o: u_lang.cc c_comand.h e_card.h e_base.h md.h io_trace.h \
- io_error.h d_dot.h d_coment.h d_subckt.h e_node.h u_sim_data.h \
- constant.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_subckt.h e_compon.h u_time_pair.h u_parameter.h globals.h \
- l_dispatcher.h ap.h m_expression.h m_base.h e_cardlist.h e_model.h \
- u_lang.h
-u_nodemap.o: u_nodemap.cc e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h u_nodemap.h
-u_opt1.o: u_opt1.cc u_opt.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h
-u_opt2.o: u_opt2.cc u_lang.h u_opt.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h l_compar.h ap.h
-u_parameter.o: u_parameter.cc l_stlextra.h md.h io_trace.h io_error.h \
- u_parameter.h globals.h l_dispatcher.h u_opt.h mode.h io_.h l_lib.h ap.h \
- m_expression.h m_base.h constant.h e_cardlist.h u_lang.h
-u_prblst.o: u_prblst.cc e_cardlist.h md.h io_trace.h io_error.h e_node.h \
- u_sim_data.h constant.h l_compar.h u_opt.h mode.h io_.h l_lib.h \
- m_matrix.h l_stlextra.h e_base.h e_card.h u_nodemap.h ap.h u_prblst.h \
- u_probe.h
-u_probe.o: u_probe.cc u_sim_data.h constant.h md.h io_trace.h io_error.h \
- l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h l_stlextra.h \
- u_status.h l_timer.h e_base.h u_probe.h
-u_sim_data.o: u_sim_data.cc e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h u_nodemap.h e_cardlist.h u_status.h l_timer.h
-u_xprobe.o: u_xprobe.cc m_phase.h constant.h md.h io_trace.h io_error.h \
- u_opt.h mode.h io_.h l_lib.h u_xprobe.h
-s__init.o: s__init.cc u_status.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h l_timer.h u_sim_data.h constant.h l_compar.h u_opt.h \
- m_matrix.h l_stlextra.h s__.h c_comand.h e_card.h e_base.h
-s__out.o: s__out.cc u_sim_data.h constant.h md.h io_trace.h io_error.h \
- l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h l_stlextra.h \
- u_status.h l_timer.h m_wave.h l_denoise.h m_interp.h m_cpoly.h \
- u_prblst.h u_probe.h declare.h s__.h c_comand.h e_card.h e_base.h
-s__solve.o: s__solve.cc e_cardlist.h md.h io_trace.h io_error.h \
- u_status.h mode.h io_.h l_lib.h l_timer.h e_node.h u_sim_data.h \
- constant.h l_compar.h u_opt.h m_matrix.h l_stlextra.h e_base.h s__.h \
- c_comand.h e_card.h
-d_subckt.o: d_subckt.cc d_subckt.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h e_subckt.h e_compon.h u_time_pair.h u_parameter.h \
- globals.h l_dispatcher.h ap.h m_expression.h m_base.h e_cardlist.h \
- e_card.h
-d_logic.o: d_logic.cc d_subckt.h e_node.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h e_base.h e_subckt.h e_compon.h u_time_pair.h u_parameter.h \
- globals.h l_dispatcher.h ap.h m_expression.h m_base.h e_cardlist.h \
- e_card.h u_xprobe.h d_logic.h e_model.h e_elemnt.h m_cpoly.h l_denoise.h
-d_logicmod.o: d_logicmod.cc d_logic.h e_model.h u_parameter.h globals.h \
- l_dispatcher.h l_stlextra.h md.h io_trace.h io_error.h u_opt.h mode.h \
- io_.h l_lib.h ap.h m_expression.h m_base.h constant.h e_cardlist.h \
- e_card.h e_base.h e_elemnt.h e_node.h u_sim_data.h l_compar.h m_matrix.h \
- m_cpoly.h l_denoise.h e_compon.h u_time_pair.h
-e_base.o: e_base.cc u_sim_data.h constant.h md.h io_trace.h io_error.h \
- l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h l_stlextra.h m_wave.h \
- l_denoise.h m_interp.h m_cpoly.h u_prblst.h u_probe.h u_xprobe.h \
- e_base.h
-e_card.o: e_card.cc u_time_pair.h constant.h md.h io_trace.h io_error.h \
- e_cardlist.h e_node.h u_sim_data.h l_compar.h u_opt.h mode.h io_.h \
- l_lib.h m_matrix.h l_stlextra.h e_base.h e_card.h
-e_node.o: e_node.cc u_nodemap.h md.h io_trace.h io_error.h d_logic.h \
- e_model.h u_parameter.h globals.h l_dispatcher.h l_stlextra.h u_opt.h \
- mode.h io_.h l_lib.h ap.h m_expression.h m_base.h constant.h \
- e_cardlist.h e_card.h e_base.h e_elemnt.h e_node.h u_sim_data.h \
- l_compar.h m_matrix.h m_cpoly.h l_denoise.h e_compon.h u_time_pair.h \
- e_aux.h u_xprobe.h
-e_model.o: e_model.cc e_compon.h u_sim_data.h constant.h md.h io_trace.h \
- io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h \
- m_expression.h m_base.h e_cardlist.h e_card.h e_base.h e_model.h
-e_compon.o: e_compon.cc u_lang.h u_opt.h mode.h io_.h l_lib.h md.h \
- io_trace.h io_error.h e_model.h u_parameter.h globals.h l_dispatcher.h \
- l_stlextra.h ap.h m_expression.h m_base.h constant.h e_cardlist.h \
- e_card.h e_base.h e_elemnt.h e_node.h u_sim_data.h l_compar.h m_matrix.h \
- m_cpoly.h l_denoise.h e_compon.h u_time_pair.h
-e_elemnt.o: e_elemnt.cc m_divdiff.h u_xprobe.h constant.h md.h io_trace.h \
- io_error.h e_aux.h e_node.h u_sim_data.h l_compar.h u_opt.h mode.h io_.h \
- l_lib.h m_matrix.h l_stlextra.h e_base.h e_elemnt.h m_cpoly.h \
- l_denoise.h e_compon.h u_time_pair.h u_parameter.h globals.h \
- l_dispatcher.h ap.h m_expression.h m_base.h e_cardlist.h e_card.h
-e_ccsrc.o: e_ccsrc.cc e_ccsrc.h e_elemnt.h e_node.h u_sim_data.h \
- constant.h md.h io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h \
- l_lib.h m_matrix.h l_stlextra.h e_base.h m_cpoly.h l_denoise.h \
- e_compon.h u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h \
- m_expression.h m_base.h e_cardlist.h e_card.h
-e_storag.o: e_storag.cc e_storag.h e_elemnt.h e_node.h u_sim_data.h \
- constant.h md.h io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h \
- l_lib.h m_matrix.h l_stlextra.h e_base.h m_cpoly.h l_denoise.h \
- e_compon.h u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h \
- m_expression.h m_base.h e_cardlist.h e_card.h
-e_cardlist.o: e_cardlist.cc u_time_pair.h constant.h md.h io_trace.h \
- io_error.h e_node.h u_sim_data.h l_compar.h u_opt.h mode.h io_.h l_lib.h \
- m_matrix.h l_stlextra.h e_base.h u_nodemap.h e_model.h u_parameter.h \
- globals.h l_dispatcher.h ap.h m_expression.h m_base.h e_cardlist.h \
- e_card.h
-bm_value.o: bm_value.cc bm.h e_compon.h u_sim_data.h constant.h md.h \
- io_trace.h io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h \
- m_expression.h m_base.h e_cardlist.h e_card.h e_base.h
-bm.o: bm.cc u_lang.h u_opt.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h e_elemnt.h e_node.h u_sim_data.h constant.h l_compar.h \
- m_matrix.h l_stlextra.h e_base.h m_cpoly.h l_denoise.h e_compon.h \
- u_time_pair.h u_parameter.h globals.h l_dispatcher.h ap.h m_expression.h \
- m_base.h e_cardlist.h e_card.h bm.h
-c__cmd.o: c__cmd.cc u_status.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h l_timer.h declare.h c_comand.h e_card.h e_base.h globals.h \
- l_dispatcher.h l_stlextra.h u_opt.h ap.h
-c_attach.o: c_attach.cc e_cardlist.h md.h io_trace.h io_error.h \
- c_comand.h e_card.h e_base.h globals.h l_dispatcher.h l_stlextra.h \
- u_opt.h mode.h io_.h l_lib.h ap.h
-c_file.o: c_file.cc u_lang.h u_opt.h mode.h io_.h l_lib.h md.h io_trace.h \
- io_error.h c_comand.h e_card.h e_base.h globals.h l_dispatcher.h \
- l_stlextra.h ap.h
-c_genrat.o: c_genrat.cc u_sim_data.h constant.h md.h io_trace.h \
- io_error.h l_compar.h u_opt.h mode.h io_.h l_lib.h m_matrix.h \
- l_stlextra.h globals.h l_dispatcher.h ap.h c_comand.h e_card.h e_base.h
-findbr.o: findbr.cc l_lib.h md.h io_trace.h io_error.h constant.h \
- e_cardlist.h ap.h e_card.h e_base.h
-plot.o: plot.cc declare.h md.h io_trace.h io_error.h constant.h u_opt.h \
- mode.h io_.h l_lib.h u_prblst.h u_probe.h l_compar.h
-main.o: main.cc e_cardlist.h md.h io_trace.h io_error.h u_lang.h u_opt.h \
- mode.h io_.h l_lib.h ap.h patchlev.h c_comand.h e_card.h e_base.h \
- declare.h
-globals.o: globals.cc globals.h l_dispatcher.h l_stlextra.h md.h \
- io_trace.h io_error.h u_opt.h mode.h io_.h l_lib.h ap.h u_prblst.h \
- u_probe.h l_compar.h e_cardlist.h u_status.h l_timer.h
diff --git a/lib/Makefile.am b/src/Makefile.am
similarity index 100%
rename from lib/Makefile.am
rename to src/Makefile.am
diff --git a/src/Makefile.in b/src/Makefile.in
deleted file mode 100644
index 7375c88..0000000
--- a/src/Makefile.in
+++ /dev/null
@@ -1,761 +0,0 @@
-# Makefile.in generated by automake 1.11 from Makefile.am.
-# @configure_input@
-
-# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
-# 2003, 2004, 2005, 2006, 2007, 2008, 2009  Free Software Foundation,
-# Inc.
-# This Makefile.in is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# This program is distributed in the hope that it will be useful,
-# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
-# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
-# PARTICULAR PURPOSE.
-
- at SET_MAKE@
-
-VPATH = @srcdir@
-pkgdatadir = $(datadir)/@PACKAGE@
-pkgincludedir = $(includedir)/@PACKAGE@
-pkglibdir = $(libdir)/@PACKAGE@
-pkglibexecdir = $(libexecdir)/@PACKAGE@
-am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
-install_sh_DATA = $(install_sh) -c -m 644
-install_sh_PROGRAM = $(install_sh) -c
-install_sh_SCRIPT = $(install_sh) -c
-INSTALL_HEADER = $(INSTALL_DATA)
-transform = $(program_transform_name)
-NORMAL_INSTALL = :
-PRE_INSTALL = :
-POST_INSTALL = :
-NORMAL_UNINSTALL = :
-PRE_UNINSTALL = :
-POST_UNINSTALL = :
-bin_PROGRAMS = gnucap$(EXEEXT)
-subdir = src
-DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
-ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
-am__aclocal_m4_deps = $(top_srcdir)/configure.ac
-am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
-	$(ACLOCAL_M4)
-mkinstalldirs = $(install_sh) -d
-CONFIG_HEADER = $(top_builddir)/config.h
-CONFIG_CLEAN_FILES =
-CONFIG_CLEAN_VPATH_FILES =
-am__installdirs = "$(DESTDIR)$(bindir)"
-PROGRAMS = $(bin_PROGRAMS)
-am__objects_1 =
-am__objects_2 = d_mos1.$(OBJEXT) d_mos2.$(OBJEXT) d_mos3.$(OBJEXT) \
-	d_mos4.$(OBJEXT) d_mos5.$(OBJEXT) d_mos6.$(OBJEXT) \
-	d_mos7.$(OBJEXT) d_mos8.$(OBJEXT) d_mos123.$(OBJEXT) \
-	d_mos_base.$(OBJEXT) d_mos.$(OBJEXT) d_bjt.$(OBJEXT) \
-	d_diode.$(OBJEXT)
-am__objects_3 = $(am__objects_2) $(am__objects_1)
-am__objects_4 = d_admit.$(OBJEXT) d_cap.$(OBJEXT) d_cccs.$(OBJEXT) \
-	d_ccvs.$(OBJEXT) d_coil.$(OBJEXT) d_cs.$(OBJEXT) \
-	d_poly_g.$(OBJEXT) d_poly_cap.$(OBJEXT) d_res.$(OBJEXT) \
-	d_switch.$(OBJEXT) d_trln.$(OBJEXT) d_vcg.$(OBJEXT) \
-	d_vcr.$(OBJEXT) d_vcvs.$(OBJEXT) d_vs.$(OBJEXT)
-am__objects_5 = bm_complex.$(OBJEXT) bm_cond.$(OBJEXT) \
-	bm_exp.$(OBJEXT) bm_fit.$(OBJEXT) bm_generator.$(OBJEXT) \
-	bm_model.$(OBJEXT) bm_poly.$(OBJEXT) bm_posy.$(OBJEXT) \
-	bm_pulse.$(OBJEXT) bm_pwl.$(OBJEXT) bm_sffm.$(OBJEXT) \
-	bm_sin.$(OBJEXT) bm_tanh.$(OBJEXT) bmm_table.$(OBJEXT) \
-	bmm_semi.$(OBJEXT)
-am__objects_6 = c_clear.$(OBJEXT) c_comand.$(OBJEXT) \
-	c_delete.$(OBJEXT) c_exp.$(OBJEXT) c_list.$(OBJEXT) \
-	c_measure.$(OBJEXT) c_modify.$(OBJEXT) c_param.$(OBJEXT) \
-	c_prbcmd.$(OBJEXT) c_status.$(OBJEXT) c_sweep.$(OBJEXT) \
-	c_sim.$(OBJEXT) c_system.$(OBJEXT)
-am__objects_7 = s_ac.$(OBJEXT) s_dc.$(OBJEXT) s_fo.$(OBJEXT) \
-	s_tr.$(OBJEXT) s_tr_set.$(OBJEXT) s_tr_swp.$(OBJEXT)
-am__objects_8 = lang_spice.$(OBJEXT) lang_spectre.$(OBJEXT) \
-	lang_verilog.$(OBJEXT)
-am__objects_9 = func_core.$(OBJEXT) measure_eval.$(OBJEXT) \
-	measure_max.$(OBJEXT) measure_min.$(OBJEXT) \
-	measure_slewrate.$(OBJEXT) measure_cross.$(OBJEXT) \
-	measure_integral.$(OBJEXT) measure_average.$(OBJEXT) \
-	measure_rms.$(OBJEXT) measure_at.$(OBJEXT)
-am__objects_10 = $(am__objects_4) $(am__objects_5) $(am__objects_6) \
-	$(am__objects_7) $(am__objects_8) $(am__objects_9) \
-	m_expression_dump.$(OBJEXT) m_expression_in.$(OBJEXT) \
-	m_expression_reduce.$(OBJEXT) m_base_in.$(OBJEXT) \
-	m_base_math.$(OBJEXT) m_fft.$(OBJEXT) m_spline.$(OBJEXT) \
-	ap_construct.$(OBJEXT) ap_convert.$(OBJEXT) ap_error.$(OBJEXT) \
-	ap_get.$(OBJEXT) ap_match.$(OBJEXT) ap_skip.$(OBJEXT) \
-	l_ftos.$(OBJEXT) l_pmatch.$(OBJEXT) l_timer.$(OBJEXT) \
-	l_trim.$(OBJEXT) l_wmatch.$(OBJEXT) io.$(OBJEXT) \
-	io_contr.$(OBJEXT) io_error.$(OBJEXT) io_findf.$(OBJEXT) \
-	io_out.$(OBJEXT) io_xopen.$(OBJEXT) u_function.$(OBJEXT) \
-	u_lang.$(OBJEXT) u_nodemap.$(OBJEXT) u_opt1.$(OBJEXT) \
-	u_opt2.$(OBJEXT) u_parameter.$(OBJEXT) u_prblst.$(OBJEXT) \
-	u_probe.$(OBJEXT) u_sim_data.$(OBJEXT) u_xprobe.$(OBJEXT) \
-	s__init.$(OBJEXT) s__out.$(OBJEXT) s__solve.$(OBJEXT) \
-	d_subckt.$(OBJEXT) d_logic.$(OBJEXT) d_logicmod.$(OBJEXT) \
-	e_base.$(OBJEXT) e_card.$(OBJEXT) e_node.$(OBJEXT) \
-	e_model.$(OBJEXT) e_compon.$(OBJEXT) e_elemnt.$(OBJEXT) \
-	e_ccsrc.$(OBJEXT) e_storag.$(OBJEXT) e_cardlist.$(OBJEXT) \
-	bm_value.$(OBJEXT) bm.$(OBJEXT) c__cmd.$(OBJEXT) \
-	c_attach.$(OBJEXT) c_file.$(OBJEXT) c_genrat.$(OBJEXT) \
-	findbr.$(OBJEXT) plot.$(OBJEXT) main.$(OBJEXT) \
-	globals.$(OBJEXT)
-am__objects_11 = $(am__objects_10) $(am__objects_1)
-nodist_gnucap_OBJECTS = $(am__objects_1) $(am__objects_3) \
-	$(am__objects_11)
-gnucap_OBJECTS = $(nodist_gnucap_OBJECTS)
-gnucap_LDADD = $(LDADD)
-DEFAULT_INCLUDES = -I. at am__isrc@ -I$(top_builddir)
-depcomp = $(SHELL) $(top_srcdir)/depcomp
-am__depfiles_maybe = depfiles
-am__mv = mv -f
-CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
-	$(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
-CXXLD = $(CXX)
-CXXLINK = $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) $(LDFLAGS) \
-	-o $@
-COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
-	$(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
-CCLD = $(CC)
-LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
-SOURCES = $(nodist_gnucap_SOURCES)
-DIST_SOURCES =
-ETAGS = etags
-CTAGS = ctags
-DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
-ACLOCAL = @ACLOCAL@
-AMTAR = @AMTAR@
-AUTOCONF = @AUTOCONF@
-AUTOHEADER = @AUTOHEADER@
-AUTOMAKE = @AUTOMAKE@
-AWK = @AWK@
-CPPFLAGS = @CPPFLAGS@
-CXX = @CXX@
-CXXDEPMODE = @CXXDEPMODE@
-CXXFLAGS = @CXXFLAGS@
-CYGPATH_W = @CYGPATH_W@
-DEFS = @DEFS@
-DEPDIR = @DEPDIR@
-ECHO_C = @ECHO_C@
-ECHO_N = @ECHO_N@
-ECHO_T = @ECHO_T@
-EXEEXT = @EXEEXT@
-INSTALL = @INSTALL@
-INSTALL_DATA = @INSTALL_DATA@
-INSTALL_PROGRAM = @INSTALL_PROGRAM@
-INSTALL_SCRIPT = @INSTALL_SCRIPT@
-INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
-LDFLAGS = @LDFLAGS@
-LIBOBJS = @LIBOBJS@
-LIBS = @LIBS@
-LTLIBOBJS = @LTLIBOBJS@
-MAINT = @MAINT@
-MAKEINFO = @MAKEINFO@
-MKDIR_P = @MKDIR_P@
-MODELGEN = @MODELGEN@
-OBJEXT = @OBJEXT@
-PACKAGE = @PACKAGE@
-PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
-PACKAGE_NAME = @PACKAGE_NAME@
-PACKAGE_STRING = @PACKAGE_STRING@
-PACKAGE_TARNAME = @PACKAGE_TARNAME@
-PACKAGE_URL = @PACKAGE_URL@
-PACKAGE_VERSION = @PACKAGE_VERSION@
-PATH_SEPARATOR = @PATH_SEPARATOR@
-SET_MAKE = @SET_MAKE@
-SHELL = @SHELL@
-STRIP = @STRIP@
-VERSION = @VERSION@
-abs_builddir = @abs_builddir@
-abs_srcdir = @abs_srcdir@
-abs_top_builddir = @abs_top_builddir@
-abs_top_srcdir = @abs_top_srcdir@
-ac_ct_CXX = @ac_ct_CXX@
-am__include = @am__include@
-am__leading_dot = @am__leading_dot@
-am__quote = @am__quote@
-am__tar = @am__tar@
-am__untar = @am__untar@
-bindir = @bindir@
-build_alias = @build_alias@
-builddir = @builddir@
-datadir = @datadir@
-datarootdir = @datarootdir@
-docdir = @docdir@
-dvidir = @dvidir@
-exec_prefix = @exec_prefix@
-host_alias = @host_alias@
-htmldir = @htmldir@
-includedir = @includedir@
-infodir = @infodir@
-install_sh = @install_sh@
-libdir = @libdir@
-libexecdir = @libexecdir@
-localedir = @localedir@
-localstatedir = @localstatedir@
-mandir = @mandir@
-mkdir_p = @mkdir_p@
-oldincludedir = @oldincludedir@
-pdfdir = @pdfdir@
-prefix = @prefix@
-program_transform_name = @program_transform_name@
-psdir = @psdir@
-sbindir = @sbindir@
-sharedstatedir = @sharedstatedir@
-srcdir = @srcdir@
-sysconfdir = @sysconfdir@
-target_alias = @target_alias@
-top_build_prefix = @top_build_prefix@
-top_builddir = @top_builddir@
-top_srcdir = @top_srcdir@
-
-#------------------------------------------------------------------------
-#------------------------------------------------------------------------
-# These source files can be omitted, and supplied as plugins.
-# device models defined in high level .model files
-MODELS = \
-d_mos1.model d_mos2.model d_mos3.model \
-d_mos4.model d_mos5.model d_mos6.model d_mos7.model d_mos8.model \
-d_mos123.model d_mos_base.model d_mos.model \
-d_bjt.model d_diode.model
-
-
-# device models hand coded in C++
-D_SRCS = \
-d_admit.cc d_cap.cc d_cccs.cc d_ccvs.cc d_coil.cc \
-d_cs.cc d_poly_g.cc d_poly_cap.cc d_res.cc d_switch.cc \
-d_trln.cc d_vcg.cc d_vcr.cc d_vcvs.cc d_vs.cc
-
-
-# behavioral modeling functions
-BM_SRCS = \
-bm_complex.cc bm_cond.cc bm_exp.cc bm_fit.cc bm_generator.cc \
-bm_model.cc bm_poly.cc bm_posy.cc bm_pulse.cc bm_pwl.cc bm_sffm.cc \
-bm_sin.cc bm_tanh.cc bmm_table.cc bmm_semi.cc
-
-
-# utility commands, usually one file per command
-# some have multiple commands in a file
-C_SRCS = \
-c_clear.cc c_comand.cc c_delete.cc c_exp.cc c_list.cc \
-c_measure.cc c_modify.cc c_param.cc c_prbcmd.cc c_status.cc \
-c_sweep.cc c_sim.cc c_system.cc
-
-
-# simulation commands, usually multiple files for one command
-S_SRCS = \
-s_ac.cc s_dc.cc s_fo.cc \
-s_tr.cc s_tr_set.cc s_tr_swp.cc
-
-
-# netlist languages
-LANG_SRCS = \
-lang_spice.cc lang_spectre.cc lang_verilog.cc
-
-
-# parameter functions
-FUNC_SRCS = \
-func_core.cc measure_eval.cc \
-measure_max.cc measure_min.cc measure_slewrate.cc measure_cross.cc \
-measure_integral.cc measure_average.cc measure_rms.cc measure_at.cc
-
-#------------------------------------------------------------------------
-RAW_SRCS = \
-$(D_SRCS) \
-$(BM_SRCS) \
-$(C_SRCS) \
-$(S_SRCS) \
-$(LANG_SRCS) \
-$(FUNC_SRCS) \
-m_expression_dump.cc m_expression_in.cc m_expression_reduce.cc \
-m_base_in.cc m_base_math.cc m_fft.cc  m_spline.cc \
-ap_construct.cc ap_convert.cc ap_error.cc ap_get.cc ap_match.cc ap_skip.cc \
-l_ftos.cc l_pmatch.cc l_timer.cc l_trim.cc l_wmatch.cc \
-io.cc io_contr.cc io_error.cc io_findf.cc io_out.cc io_xopen.cc \
-u_function.cc u_lang.cc u_nodemap.cc u_opt1.cc u_opt2.cc u_parameter.cc \
-u_prblst.cc u_probe.cc u_sim_data.cc u_xprobe.cc \
-s__init.cc s__out.cc s__solve.cc \
-d_subckt.cc d_logic.cc d_logicmod.cc \
-e_base.cc e_card.cc e_node.cc e_model.cc e_compon.cc \
-e_elemnt.cc e_ccsrc.cc e_storag.cc e_cardlist.cc \
-bm_value.cc bm.cc \
-c__cmd.cc c_attach.cc c_file.cc c_genrat.cc \
-findbr.cc plot.cc main.cc globals.cc
-
-#------------------------------------------------------------------------
-RAW_HDRS = \
-md.h ap.h mode.h constant.h declare.h patchlev.h \
-l_compar.h l_dispatcher.h l_denoise.h \
-l_lib.h l_stlextra.h l_timer.h \
-m_cpoly.h m_divdiff.h m_interp.h m_matrix.h m_spline.h m_wave.h \
-m_base.h m_expression.h m_phase.h \
-io_.h io_error.h io_trace.h \
-u_cardst.h u_function.h u_lang.h u_limit.h u_nodemap.h u_opt.h u_parameter.h \
-u_prblst.h u_probe.h u_sim_data.h u_sdp.h u_status.h u_time_pair.h u_xprobe.h \
-s__.h s_tr.h \
-e_base.h e_card.h e_node.h e_aux.h e_model.h e_compon.h e_subckt.h \
-e_elemnt.h e_ccsrc.h e_storag.h e_cardlist.h \
-d_coment.h d_dot.h d_logic.h d_subckt.h \
-bm.h \
-c_comand.h globals.h
-
-#------------------------------------------------------------------------
-MODELSRCS = ${MODELS:.model=.cc} ${MODELS:.model=.h}
-MODELOBJS = ${MODELS:.model=.${OBJEXT}}
-nodist_gnucap_SOURCES = ${SRCS1} ${MODELSRCS} ${SRCS2}
-SRCS1 = 
-SRCS2 = ${RAW_SRCS} ${RAW_HDRS}
-EXTRA_DIST = ${MODELS} ${SRCS1} ${SRCS2} \
-configure.old Make1 test_readline.cc spice-wrapper.cc \
-Make3 Makefile.template \
-Make2.g++ Make2.Debug Make2.mingw32 \
-Makefile.am
-
-
-### Clean out the modelgen generated files
-CLEANFILES = ${MODELSRCS}
-SUFFIXES = .model
-all: all-am
-
-.SUFFIXES:
-.SUFFIXES: .model .cc .o .obj
-$(srcdir)/Makefile.in: @MAINTAINER_MODE_TRUE@ $(srcdir)/Makefile.am  $(am__configure_deps)
-	@for dep in $?; do \
-	  case '$(am__configure_deps)' in \
-	    *$$dep*) \
-	      ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
-	        && { if test -f $@; then exit 0; else break; fi; }; \
-	      exit 1;; \
-	  esac; \
-	done; \
-	echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu src/Makefile'; \
-	$(am__cd) $(top_srcdir) && \
-	  $(AUTOMAKE) --gnu src/Makefile
-.PRECIOUS: Makefile
-Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
-	@case '$?' in \
-	  *config.status*) \
-	    cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
-	  *) \
-	    echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
-	    cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
-	esac;
-
-$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
-	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
-
-$(top_srcdir)/configure: @MAINTAINER_MODE_TRUE@ $(am__configure_deps)
-	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
-$(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
-	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
-$(am__aclocal_m4_deps):
-install-binPROGRAMS: $(bin_PROGRAMS)
-	@$(NORMAL_INSTALL)
-	test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
-	@list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
-	for p in $$list; do echo "$$p $$p"; done | \
-	sed 's/$(EXEEXT)$$//' | \
-	while read p p1; do if test -f $$p; \
-	  then echo "$$p"; echo "$$p"; else :; fi; \
-	done | \
-	sed -e 'p;s,.*/,,;n;h' -e 's|.*|.|' \
-	    -e 'p;x;s,.*/,,;s/$(EXEEXT)$$//;$(transform);s/$$/$(EXEEXT)/' | \
-	sed 'N;N;N;s,\n, ,g' | \
-	$(AWK) 'BEGIN { files["."] = ""; dirs["."] = 1 } \
-	  { d=$$3; if (dirs[d] != 1) { print "d", d; dirs[d] = 1 } \
-	    if ($$2 == $$4) files[d] = files[d] " " $$1; \
-	    else { print "f", $$3 "/" $$4, $$1; } } \
-	  END { for (d in files) print "f", d, files[d] }' | \
-	while read type dir files; do \
-	    if test "$$dir" = .; then dir=; else dir=/$$dir; fi; \
-	    test -z "$$files" || { \
-	      echo " $(INSTALL_PROGRAM_ENV) $(INSTALL_PROGRAM) $$files '$(DESTDIR)$(bindir)$$dir'"; \
-	      $(INSTALL_PROGRAM_ENV) $(INSTALL_PROGRAM) $$files "$(DESTDIR)$(bindir)$$dir" || exit $$?; \
-	    } \
-	; done
-
-uninstall-binPROGRAMS:
-	@$(NORMAL_UNINSTALL)
-	@list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
-	files=`for p in $$list; do echo "$$p"; done | \
-	  sed -e 'h;s,^.*/,,;s/$(EXEEXT)$$//;$(transform)' \
-	      -e 's/$$/$(EXEEXT)/' `; \
-	test -n "$$list" || exit 0; \
-	echo " ( cd '$(DESTDIR)$(bindir)' && rm -f" $$files ")"; \
-	cd "$(DESTDIR)$(bindir)" && rm -f $$files
-
-clean-binPROGRAMS:
-	-test -z "$(bin_PROGRAMS)" || rm -f $(bin_PROGRAMS)
-gnucap$(EXEEXT): $(gnucap_OBJECTS) $(gnucap_DEPENDENCIES) 
-	@rm -f gnucap$(EXEEXT)
-	$(CXXLINK) $(gnucap_OBJECTS) $(gnucap_LDADD) $(LIBS)
-
-mostlyclean-compile:
-	-rm -f *.$(OBJEXT)
-
-distclean-compile:
-	-rm -f *.tab.c
-
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/ap_construct.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/ap_convert.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/ap_error.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/ap_get.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/ap_match.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/ap_skip.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/bm.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/bm_complex.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/bm_cond.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/bm_exp.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/bm_fit.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/bm_generator.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/bm_model.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/bm_poly.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/bm_posy.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/bm_pulse.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/bm_pwl.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/bm_sffm.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/bm_sin.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/bm_tanh.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/bm_value.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/bmm_semi.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/bmm_table.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/c__cmd.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/c_attach.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/c_clear.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/c_comand.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/c_delete.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/c_exp.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/c_file.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/c_genrat.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/c_list.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/c_measure.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/c_modify.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/c_param.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/c_prbcmd.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/c_sim.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/c_status.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/c_sweep.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/c_system.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_admit.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_bjt.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_cap.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_cccs.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_ccvs.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_coil.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_cs.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_diode.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_logic.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_logicmod.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_mos.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_mos1.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_mos123.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_mos2.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_mos3.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_mos4.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_mos5.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_mos6.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_mos7.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_mos8.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_mos_base.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_poly_cap.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_poly_g.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_res.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_subckt.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_switch.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_trln.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_vcg.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_vcr.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_vcvs.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/d_vs.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/e_base.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/e_card.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/e_cardlist.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/e_ccsrc.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/e_compon.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/e_elemnt.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/e_model.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/e_node.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/e_storag.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/findbr.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/func_core.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/globals.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/io.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/io_contr.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/io_error.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/io_findf.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/io_out.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/io_xopen.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/l_ftos.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/l_pmatch.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/l_timer.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/l_trim.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/l_wmatch.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/lang_spectre.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/lang_spice.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/lang_verilog.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/m_base_in.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/m_base_math.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/m_expression_dump.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/m_expression_in.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/m_expression_reduce.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/m_fft.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/m_spline.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/main.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/measure_at.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/measure_average.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/measure_cross.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/measure_eval.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/measure_integral.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/measure_max.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/measure_min.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/measure_rms.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/measure_slewrate.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/plot.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/s__init.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/s__out.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/s__solve.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/s_ac.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/s_dc.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/s_fo.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/s_tr.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/s_tr_set.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/s_tr_swp.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/u_function.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/u_lang.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/u_nodemap.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/u_opt1.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/u_opt2.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/u_parameter.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/u_prblst.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/u_probe.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/u_sim_data.Po at am__quote@
- at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/u_xprobe.Po at am__quote@
-
-.cc.o:
- at am__fastdepCXX_TRUE@	$(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
- at am__fastdepCXX_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
- at AMDEP_TRUE@@am__fastdepCXX_FALSE@	source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
- at AMDEP_TRUE@@am__fastdepCXX_FALSE@	DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
- at am__fastdepCXX_FALSE@	$(CXXCOMPILE) -c -o $@ $<
-
-.cc.obj:
- at am__fastdepCXX_TRUE@	$(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
- at am__fastdepCXX_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
- at AMDEP_TRUE@@am__fastdepCXX_FALSE@	source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
- at AMDEP_TRUE@@am__fastdepCXX_FALSE@	DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
- at am__fastdepCXX_FALSE@	$(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
-
-ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
-	list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
-	unique=`for i in $$list; do \
-	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
-	  done | \
-	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
-	      END { if (nonempty) { for (i in files) print i; }; }'`; \
-	mkid -fID $$unique
-tags: TAGS
-
-TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
-		$(TAGS_FILES) $(LISP)
-	set x; \
-	here=`pwd`; \
-	list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
-	unique=`for i in $$list; do \
-	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
-	  done | \
-	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
-	      END { if (nonempty) { for (i in files) print i; }; }'`; \
-	shift; \
-	if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
-	  test -n "$$unique" || unique=$$empty_fix; \
-	  if test $$# -gt 0; then \
-	    $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
-	      "$$@" $$unique; \
-	  else \
-	    $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
-	      $$unique; \
-	  fi; \
-	fi
-ctags: CTAGS
-CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
-		$(TAGS_FILES) $(LISP)
-	list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
-	unique=`for i in $$list; do \
-	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
-	  done | \
-	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
-	      END { if (nonempty) { for (i in files) print i; }; }'`; \
-	test -z "$(CTAGS_ARGS)$$unique" \
-	  || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
-	     $$unique
-
-GTAGS:
-	here=`$(am__cd) $(top_builddir) && pwd` \
-	  && $(am__cd) $(top_srcdir) \
-	  && gtags -i $(GTAGS_ARGS) "$$here"
-
-distclean-tags:
-	-rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
-
-distdir: $(DISTFILES)
-	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
-	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
-	list='$(DISTFILES)'; \
-	  dist_files=`for file in $$list; do echo $$file; done | \
-	  sed -e "s|^$$srcdirstrip/||;t" \
-	      -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
-	case $$dist_files in \
-	  */*) $(MKDIR_P) `echo "$$dist_files" | \
-			   sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
-			   sort -u` ;; \
-	esac; \
-	for file in $$dist_files; do \
-	  if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
-	  if test -d $$d/$$file; then \
-	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
-	    if test -d "$(distdir)/$$file"; then \
-	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
-	    fi; \
-	    if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
-	      cp -fpR $(srcdir)/$$file "$(distdir)$$dir" || exit 1; \
-	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
-	    fi; \
-	    cp -fpR $$d/$$file "$(distdir)$$dir" || exit 1; \
-	  else \
-	    test -f "$(distdir)/$$file" \
-	    || cp -p $$d/$$file "$(distdir)/$$file" \
-	    || exit 1; \
-	  fi; \
-	done
-check-am: all-am
-check: check-am
-all-am: Makefile $(PROGRAMS)
-installdirs:
-	for dir in "$(DESTDIR)$(bindir)"; do \
-	  test -z "$$dir" || $(MKDIR_P) "$$dir"; \
-	done
-install: install-am
-install-exec: install-exec-am
-install-data: install-data-am
-uninstall: uninstall-am
-
-install-am: all-am
-	@$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
-
-installcheck: installcheck-am
-install-strip:
-	$(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
-	  install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
-	  `test -z '$(STRIP)' || \
-	    echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
-mostlyclean-generic:
-
-clean-generic:
-	-test -z "$(CLEANFILES)" || rm -f $(CLEANFILES)
-
-distclean-generic:
-	-test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
-	-test . = "$(srcdir)" || test -z "$(CONFIG_CLEAN_VPATH_FILES)" || rm -f $(CONFIG_CLEAN_VPATH_FILES)
-
-maintainer-clean-generic:
-	@echo "This command is intended for maintainers to use"
-	@echo "it deletes files that may require special tools to rebuild."
-clean: clean-am
-
-clean-am: clean-binPROGRAMS clean-generic mostlyclean-am
-
-distclean: distclean-am
-	-rm -rf ./$(DEPDIR)
-	-rm -f Makefile
-distclean-am: clean-am distclean-compile distclean-generic \
-	distclean-tags
-
-dvi: dvi-am
-
-dvi-am:
-
-html: html-am
-
-html-am:
-
-info: info-am
-
-info-am:
-
-install-data-am:
-
-install-dvi: install-dvi-am
-
-install-dvi-am:
-
-install-exec-am: install-binPROGRAMS
-
-install-html: install-html-am
-
-install-html-am:
-
-install-info: install-info-am
-
-install-info-am:
-
-install-man:
-
-install-pdf: install-pdf-am
-
-install-pdf-am:
-
-install-ps: install-ps-am
-
-install-ps-am:
-
-installcheck-am:
-
-maintainer-clean: maintainer-clean-am
-	-rm -rf ./$(DEPDIR)
-	-rm -f Makefile
-maintainer-clean-am: distclean-am maintainer-clean-generic
-
-mostlyclean: mostlyclean-am
-
-mostlyclean-am: mostlyclean-compile mostlyclean-generic
-
-pdf: pdf-am
-
-pdf-am:
-
-ps: ps-am
-
-ps-am:
-
-uninstall-am: uninstall-binPROGRAMS
-
-.MAKE: install-am install-strip
-
-.PHONY: CTAGS GTAGS all all-am check check-am clean clean-binPROGRAMS \
-	clean-generic ctags distclean distclean-compile \
-	distclean-generic distclean-tags distdir dvi dvi-am html \
-	html-am info info-am install install-am install-binPROGRAMS \
-	install-data install-data-am install-dvi install-dvi-am \
-	install-exec install-exec-am install-html install-html-am \
-	install-info install-info-am install-man install-pdf \
-	install-pdf-am install-ps install-ps-am install-strip \
-	installcheck installcheck-am installdirs maintainer-clean \
-	maintainer-clean-generic mostlyclean mostlyclean-compile \
-	mostlyclean-generic pdf pdf-am ps ps-am tags uninstall \
-	uninstall-am uninstall-binPROGRAMS
-
-
-# make all of the model object files depend on all of the model sources
-# this is a bit overkill, but for example the bjt model makes use of the
-# diode model and we want to be sure and capture that dependency
-${MODELOBJS}: ${MODELSRCS}
-
-%.cc : %.model %.h ${MODELGEN}${EXEEXT}
-	${MODELGEN} -cc $<
-
-%.h : %.model ${MODELGEN}${EXEEXT}
-	${MODELGEN} -h $<
-
-# Tell versions [3.59,3.63) of GNU make to not export all variables.
-# Otherwise a system limit (for SysV at least) may be exceeded.
-.NOEXPORT:
diff --git a/src/Makefile.template b/src/Makefile.template
deleted file mode 100644
index 03236f1..0000000
--- a/src/Makefile.template
+++ /dev/null
@@ -1,123 +0,0 @@
-#$Id: Makefile.template,v 26.133 2009/11/26 04:58:04 al Exp $ -*- Makefile -*-
-# Copyright (C) 2001 Albert Davis
-# Author: Albert Davis <aldavis at gnu.org>
-#
-# This file is part of "Gnucap", the Gnu Circuit Analysis Package
-#
-# This program is free software; you can redistribute it and/or modify
-# it under the terms of the GNU General Public License as published by
-# the Free Software Foundation; either version 3, or (at your option)
-# any later version.
-#
-# This program is distributed in the hope that it will be useful,
-# but WITHOUT ANY WARRANTY; without even the implied warranty of
-# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
-# GNU General Public License for more details.
-#
-# You should have received a copy of the GNU General Public License
-# along with this program; if not, write to the Free Software
-# Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
-# 02110-1301, USA.
-#------------------------------------------------------------------------
-# In most cases you can just type "make" to build it.
-# Generally, you will want "make your-system".
-# Look in this file for the choices.
-# If yours is not here, look for a similar one and copy.
-# The special configurations listed here allow you to do multiple builds
-# from the same source directory, and patch some problems.
-#-----------------------------------------------------------------------------
-# The default is to use the makefile built by "configure"
-default: nothing
-	-mkdir O
-	cat Make1 Make2 Make3 Make.depend >O/Makefile
-	(cd O; ${MAKE} -k)
-#-----------------------------------------------------------------------------
-# The most common configuration is g++
-# This should work if it is properly installed
-# and has the proper libraries and headers.
-# It is optimized for speed.  Debugging is off.
-g++: nothing
-	-mkdir O
-	cat Make1 Make2.g++ Make3 Make.depend >O/Makefile
-	(cd O; ${MAKE} -k)
-#-----------------------------------------------------------------------------
-# This one makes a "debug" build ...
-# Asserts and some tracing is turned on.
-# It prints a trace when "untested" code is exercised.
-# "Untested" means the regressions don't test it.
-# It doesn't mean TOTALLY untested.
-debug: nothing
-	-mkdir O-DEBUG
-	cat Make1 Make2.Debug Make3 Make.depend >O-DEBUG/Makefile
-	(cd O-DEBUG; ${MAKE} -k)
-#-----------------------------------------------------------------------------
-#-----------------------------------------------------------------------------
-# MS Windows using mingw32
-mingw: nothing
-	-mkdir MSW
-	cat Make1 Make2.mingw32 Make3 Make.depend >MSW/Makefile
-	(cd MSW; ${MAKE} -k)
-#-----------------------------------------------------------------------------
-tags: nothing
-	cat Make1 Make2.g++ Make3 >Make.aux
-	(${MAKE} tags -f Make.aux)
-#-----------------------------------------------------------------------------
-depend: nothing
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux depend
-#-----------------------------------------------------------------------------
-checkin: nothing
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux checkin
-#-----------------------------------------------------------------------------
-checkout: nothing
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux checkout
-#-----------------------------------------------------------------------------
-#  Note that the /usr/local directory is overwritten by configure.
-install: nothing
-	if test -d /usr/local/bin; then \
-		cp O/gnucap /usr/local/bin/gnucap; \
-	else \
-		mkdir -p /usr/local/bin && \
-		cp O/gnucap /usr/local/bin/gnucap; \
-	fi
-#-----------------------------------------------------------------------------
-uninstall: clean
-	-rm /usr/local/bin/gnucap
-#-----------------------------------------------------------------------------
-unconfig: 
-	rm -f Makefile
-#-----------------------------------------------------------------------------
-date: nothing
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux date
-#-----------------------------------------------------------------------------
-header-check:
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux header-check
-#-----------------------------------------------------------------------------
-manifest:
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux manifest
-#-----------------------------------------------------------------------------
-md5sums:
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux md5sums
-#-----------------------------------------------------------------------------
-mostlyclean:
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux mostlyclean
-clean:
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux clean
-distclean:
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux distclean
-maintainer-clean:
-	cat Make1 Make2.g++ Make3 >Make.aux
-	${MAKE} -f Make.aux maintainer-clean
-#-----------------------------------------------------------------------------
-nothing:
-#-----------------------------------------------------------------------------
-#-----------------------------------------------------------------------------

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/pkg-electronics/gnucap.git



More information about the Pkg-electronics-commits mailing list