[Pkg-electronics-commits] [gnucap] branch master updated (8585252 -> 243a9c6)

felix salfelder felix-guest at moszumanska.debian.org
Mon Sep 26 10:32:26 UTC 2016


This is an automated email from the git hooks/post-receive script.

felix-guest pushed a change to branch master
in repository gnucap.

      from  8585252   fix d/copyright. correct license text reference
       new  429b441   io_trace fix. s/endl/std::endl/
       new  a90ff60   use "\n", not endl in io_trace.
       new  01f9ae0   fallback to C style traces, unless __cplusplus
       new  50e6c75   trace-fix: bug fix: itested
       new  f170143   traces-1: include/spice-wrapper.cc: update stale trace
       new  014f23e   Merge remote-tracking branch 'origin/io_trace-1' into trace-fix
       new  ec034a1   paramset:  multi-line paramset
       new  5b6d575   Merge remote-tracking branch 'origin/paramset' into trace-fix
       new  bf9b2d9   trace-fix:  "itested" update status .. is tested
       new  1eca415   Merge branch 'trace-fix', 'traces-1', 'paramset' into unstable
       new  650b67d   Merge branch 'upstream'
       new  94966a7   bump version
       new  5af63d7   use debian-specific soname
       new  9a1ffcb   matrix: fbsubt and s
       new  051c648   asserts in const d,u,l like in the nonconst
       new  d08d001   matrix: testing
       new  12c0e46   bm_pulse: fix initial value when rise=delay=0 	  fix numeric glitch in periodics at local 0 	  add tests
       new  df144c5   Merge branches 'matrix' , 'bm_pulse' into unstable
       new  0a51bd2   turn {DEV,MODEL}_SUBCKT into base class
       new  6e3fd7a   ask dispatcher for MODEL_SCKT* in lang_verilog
       new  7e2f4c5   undo "turn DEV_SUBCKT into baseclass"
       new  32217dd   fix another memory leak in map_sckt_nodes
       new  7dc7453   replace MODEL_SUBCKT
       new  b4d8212   put DEV_SUBCKT, DEV_SUBCKT_PROTO into anonymous namespace
       new  827fc0b   possible double free in new_subckt
       new  515a9fe   add missing override in DEV_SUBCKT_PROTO
       new  ac9d63c   fix net_nodes bug
       new  1ed93ce   compile fix DEV_SUBCKT::port_name
       new  5230268   subckt-1: rebase, testing, headers
       new  6c900a2   subckt-1: subckt is a plugin.  e_paramlist.*  COMMON_PARAMLIST
       new  ec24c47   subckt-1: testing, id tags, and cleanup
       new  ded6c1b   subckt-1: d_subckt.cc back to lib.  lang_* muntzing
       new  a4dd427   Merge branch 'subckt-1' into unstable
       new  97f93dc   make depend
       new  42e2d5b   transient: tstep becomes tstrobe, allow not specifying tstrobe
       new  e9989d9   transient-2: hidden count, testing
       new  dab441f   transient-3: bug fix - crash when continuing transient after mod.
       new  2d7237b   transient-3:  bug fix .. catch trsteporder out of range user error
       new  693973d   transient-3: changes to transient step control on first step and after nonconvergence
       new  bf2d299   transient-3: more changes to initial time step control 	also more digits in to_string() 	tests (==out) not updated, so many "fail"
       new  0b2e3e4   transient-3: add "0922" test results = new 	minor change to e_elemnt.cc (remove now unreachable code)
       new  48961cc   transient-3:  store (used by measure) and alarm use all time steps
       new  da85de2   transient-4: cleanup and update tests
       new  0d0887b   Merge branch 'transient-4' into unstable
       new  5a1c1f5   Merge branch 'upstream'
       new  c5a05c7   update changelog to 0d0887b8
       new  243a9c6   bump standards version to 3.9.8

The 47 revisions listed above as "new" are entirely new to this
repository and will be described in separate emails.  The revisions
listed as "adds" were already present in the repository and have only
been added to this reference.


Summary of changes:
 apps/Make.depend                                   |    37 +-
 apps/Make1                                         |     2 +-
 apps/bm_pulse.cc                                   |    96 +-
 apps/c_delete.cc                                   |     6 +-
 apps/c_list.cc                                     |     6 +-
 apps/d_res.cc                                      |     4 +-
 apps/d_vs.cc                                       |     4 +-
 apps/lang_spectre.cc                               |    15 +-
 apps/lang_spice.cc                                 |    19 +-
 apps/lang_verilog.cc                               |    32 +-
 apps/s__init.cc                                    |     6 +-
 apps/s__out.cc                                     |    31 +-
 apps/s_ac.cc                                       |    12 +-
 apps/s_dc.cc                                       |     5 +-
 apps/s_fo.cc                                       |     6 +-
 apps/s_tr_set.cc                                   |    86 +-
 apps/s_tr_swp.cc                                   |    65 +-
 debian/changelog                                   |     3 +-
 debian/control                                     |     6 +-
 .../{libgnucap-0.install => libgnucap-d0.install}  |     0
 debian/rules                                       |    11 +-
 include/Make1                                      |     6 +-
 include/d_subckt.h                                 |   137 -
 include/e_elemnt.h                                 |     4 +-
 include/e_node.h                                   |     3 +-
 include/{d_coment.h => e_paramlist.h}              |    47 +-
 include/io_trace.h                                 |    71 +-
 include/m_matrix.h                                 |   116 +-
 include/patchlev.h                                 |     2 +-
 include/s__.h                                      |    10 +-
 include/s_tr.h                                     |     4 +-
 include/spice-wrapper.cc                           |    12 +-
 include/u_lang.h                                   |    10 +-
 include/u_parameter.h                              |     4 +-
 lib/Make.depend                                    |    40 +-
 lib/Make1                                          |     4 +-
 lib/ap_construct.cc                                |     4 +-
 lib/ap_error.cc                                    |     8 +-
 lib/ap_get.cc                                      |     4 +-
 lib/c__cmd.cc                                      |     8 +-
 lib/d_logic.cc                                     |     6 +-
 lib/d_subckt.cc                                    |   240 +-
 lib/e_card.cc                                      |     5 +-
 lib/e_cardlist.cc                                  |    10 +-
 lib/e_elemnt.cc                                    |    41 +-
 lib/e_node.cc                                      |     2 +-
 lib/e_paramlist.cc                                 |    98 +
 lib/l_ftos.cc                                      |     4 +-
 lib/u_lang.cc                                      |    50 +-
 lib/u_probe.cc                                     |     6 +-
 main/main.cc                                       |     4 +-
 tests/==out/ap_ctof.2.ckt.out                      |     6 +-
 tests/==out/ap_ctof.ckt.out                        |     6 +-
 tests/==out/bm_cond.2.ckt.out                      |    24 +-
 tests/==out/bm_cond.3.ckt.out                      |    44 +-
 tests/==out/bm_exp.3.ckt.out                       |    38 +-
 tests/==out/bm_exp.3p.ckt.out                      |    38 +-
 tests/==out/bm_exp.4p.ckt.out                      |    38 +-
 tests/==out/bm_exp.5p.ckt.out                      |    16 +-
 tests/==out/bm_fit.3.3.ckt.out                     |     4 -
 tests/==out/bm_pulse.1.ckt.out                     |    48 +-
 tests/==out/bm_pulse.1a.ckt.out                    |   508 +
 tests/==out/bm_pulse.2.ckt.out                     |    48 +-
 tests/==out/bm_pulse.2a.ckt.out                    |   508 +
 tests/==out/bm_pulse.3.ckt.out                     |    68 +-
 tests/==out/bm_pulse.3a.ckt.out                    |  1604 ++
 tests/==out/bm_pulse.4.ckt.out                     |    13 +
 tests/==out/bm_pulse.4a.ckt.out                    |    13 +
 tests/==out/bm_pulse.4b.ckt.out                    |    13 +
 tests/==out/bm_pwl.5.ckt.out                       |    15 +-
 tests/==out/bm_sffm.1.ckt.out                      |    30 +-
 tests/==out/bm_sffm.2.ckt.out                      |   118 +-
 tests/==out/bm_sffm.3.ckt.out                      |    16 +-
 tests/==out/bm_sffm.4.ckt.out                      |     4 +-
 tests/==out/bm_sffm.5.ckt.out                      |     4 +-
 tests/==out/bm_sffm.6.ckt.out                      |    20 +-
 tests/==out/bm_sin.1.ckt.out                       |     4 +-
 tests/==out/bm_sin.2.ckt.out                       |    35 +-
 tests/==out/bm_sin.3.ckt.out                       |    50 +-
 tests/==out/bm_sin.4.ckt.out                       |    38 +-
 tests/==out/bm_sin.5.ckt.out                       |    37 +-
 tests/==out/bm_sin.6.ckt.out                       |    32 +-
 tests/==out/bm_sin.7.ckt.out                       |    28 +-
 tests/==out/bm_sin.8.ckt.out                       |    19 +-
 tests/==out/bm_sin.9.ckt.out                       |     9 +-
 tests/==out/bm_table.3.3.ckt.out                   |     4 -
 tests/==out/bmm_cap.1.ckt.out                      |    24 +-
 tests/==out/bmm_cap.2.ckt.out                      |    24 +-
 tests/==out/bmm_cap.4.ckt.out                      |    32 +-
 tests/==out/bmm_cap.5.ckt.out                      |    32 +-
 tests/==out/c_genrat.1.ckt.out                     |    17 -
 tests/==out/c_measure.1.ckt.out                    |    59 +-
 tests/==out/c_measure.2.ckt.out                    |    20 +-
 tests/==out/charge-cons.ckt.out                    |   202 +-
 tests/==out/charge-cons2.ckt.out                   |    20 +-
 tests/==out/charge-cons3.ckt.out                   |     4 +-
 tests/==out/d_bjt-diffpair-tf.ckt.out              |    54 +-
 tests/==out/d_bjt-diffpair-tr.ckt.out              |   282 +-
 tests/==out/d_bjt-diffpair-tran.ckt.out            |   120 +-
 tests/==out/d_bjt-schmitt-bypass.ckt.out           |    68 +-
 tests/==out/d_bjt-schmitt-nobypass.ckt.out         |     4 +-
 tests/==out/d_cap.1.ckt.out                        |    16 +-
 tests/==out/d_cap.2.ckt.out                        |    16 +-
 tests/==out/d_cap.3.ckt.out                        |  1074 +-
 tests/==out/d_cap.3a.ckt.out                       |   490 +-
 tests/==out/d_cap.4.ckt.out                        |   211 +-
 tests/==out/d_cap.5.ckt.out                        |    36 +-
 tests/==out/d_cap.6.ckt.out                        |    36 +-
 tests/==out/d_cap.ic2.ckt.out                      |    24 +-
 tests/==out/d_cap.ic4.ckt.out                      |    46 +-
 tests/==out/d_coil.1.ckt.out                       |    38 +-
 tests/==out/d_coil.1a.ckt.out                      |    38 +-
 tests/==out/d_coil.1b.ckt.out                      |    24 +-
 tests/==out/d_coil.1c.ckt.out                      |     4 +-
 tests/==out/d_coil.1d.ckt.out                      |     4 +-
 tests/==out/d_coil.1e.ckt.out                      |    20 +-
 tests/==out/d_coil.2.ckt.out                       |     8 +-
 tests/==out/d_coil.3.ckt.out                       |     6 +-
 tests/==out/d_coil.5.ckt.out                       |    16 +-
 tests/==out/d_coil.m3.ckt.out                      |    20 +-
 .../{d_coil.m3.ckt.out => d_coil.m3r.ckt.out}      |    22 +-
 tests/==out/d_diode.12.ckt.out                     |     4 +-
 tests/==out/d_logic_tr_ab1.ckt.out                 |    20 +-
 tests/==out/d_logic_tr_ab2.ckt.out                 |    21 +-
 tests/==out/d_logic_tr_an1.ckt.out                 |    20 +-
 tests/==out/d_logic_tr_an2.ckt.out                 |    21 +-
 tests/==out/d_logic_tr_db1.ckt.out                 |     9 +-
 tests/==out/d_logic_tr_db2.ckt.out                 |     9 +-
 tests/==out/d_logic_tr_dn1.ckt.out                 |     9 +-
 tests/==out/d_logic_tr_dn2.ckt.out                 |     9 +-
 tests/==out/d_logic_tr_mb1a.ckt.out                |    20 +-
 tests/==out/d_logic_tr_mb1b.ckt.out                |    20 +-
 tests/==out/d_logic_tr_mb2a.ckt.out                |    21 +-
 tests/==out/d_logic_tr_mb2b.ckt.out                |    21 +-
 tests/==out/d_logic_tr_mn1a.ckt.out                |    20 +-
 tests/==out/d_logic_tr_mn1b.ckt.out                |    20 +-
 tests/==out/d_logic_tr_mn2a.ckt.out                |    21 +-
 tests/==out/d_logic_tr_mn2b.ckt.out                |    21 +-
 tests/==out/d_mos1.nand1.ckt.out                   |    10 +-
 tests/==out/d_mos1.nand2.ckt.out                   |    10 +-
 tests/==out/d_mos1.nand3.ckt.out                   |    52 +
 tests/==out/d_mos2.inv1.ckt.out                    |    66 +-
 tests/==out/d_mos2.nand1.ckt.out                   |    30 +-
 tests/==out/d_mos3.inv1.ckt.out                    |    66 +-
 tests/==out/d_mos3.nand1.ckt.out                   |    30 +-
 tests/==out/d_mos49.nand1.ckt.out                  |    30 +-
 tests/==out/d_mos6.nand1.ckt.out                   |    10 +-
 tests/==out/d_mos7.alpha.ckt.out                   |     4 +-
 tests/==out/d_mos7.inv1.ckt.out                    |   104 +-
 tests/==out/d_mos7.lin1.ckt.out                    |     4 +-
 tests/==out/d_mos7.lin2.ckt.out                    |     4 +-
 tests/==out/d_mos7.nand1.ckt.out                   |    30 +-
 tests/==out/d_mos7.nand1.nobypass.ckt.out          |     9 +-
 tests/==out/d_mos8.nand1.ckt.out                   |    30 +-
 tests/==out/d_mos8c2.nand1.ckt.out                 |    30 +-
 tests/==out/d_mos8c2.nand1.nobypass.ckt.out        |     9 +-
 tests/==out/d_subckt.error3.ckt.out                |     7 +
 tests/==out/d_switch.c1.ckt.out                    |     4 +-
 tests/==out/d_switch.c1.inc.ckt.out                |     9 +-
 tests/==out/d_switch.c1.noinc.ckt.out              |     9 +-
 tests/==out/d_switch.c1a.ckt.out                   |    16 +-
 tests/==out/d_switch.c2a.ckt.out                   |    19 +-
 tests/==out/d_switch.nro.1.ckt.out                 |    80 +-
 tests/==out/d_switch.nro.1e.ckt.out                |   132 +-
 tests/==out/d_switch.nro.1g.ckt.out                |   338 +-
 tests/==out/d_switch.nro.1gr.ckt.out               |   401 +-
 tests/==out/d_switch.nro.2.auto.ckt.out            |   135 +
 tests/==out/d_switch.nro.2.ckt.out                 |    42 +-
 tests/==out/d_switch.nro.3.ckt.out                 |   294 +-
 tests/==out/d_switch.nro.3h.ckt.out                |   294 +-
 tests/==out/d_switch.nro.4.ckt.out                 | 27328 +------------------
 tests/==out/d_tcap.1.ckt.out                       |    44 +-
 tests/==out/d_tcap.2.ckt.out                       |   532 +-
 tests/==out/d_tcap.3.ckt.out                       |   530 +-
 tests/==out/d_tcap.4.ckt.out                       |   535 +-
 tests/==out/d_tcap.5.ckt.out                       |   340 +
 tests/==out/d_trln.tr.100.im.ckt.out               |    20 +-
 tests/==out/d_trln.tr.100.nim.ckt.out              |    20 +-
 tests/==out/d_trln.tr.300.im.ckt.out               |    20 +-
 tests/==out/d_trln.tr.300.nim.ckt.out              |    20 +-
 tests/==out/d_trln.tr.im.ckt.out                   |    20 +-
 tests/==out/d_trln.tr.m.ckt.out                    |     8 +-
 tests/==out/d_trln.tr.nim.ckt.out                  |    20 +-
 tests/==out/d_vccap.1.ckt.out                      |     6 +-
 tests/==out/d_vccap.2.ckt.out                      |    16 +-
 tests/==out/d_vcg.1.ckt.out                        |    27 +-
 tests/==out/d_vcg.2.ckt.out                        |     2 +-
 tests/==out/d_vcg.3.ckt.out                        |     2 +-
 tests/==out/d_vcg.4.ckt.out                        |     2 +-
 tests/==out/d_vcg.5.ckt.out                        |     2 +-
 tests/==out/d_vcr.1.ckt.out                        |    27 +-
 tests/==out/d_vcr.2.ckt.out                        |    27 +-
 tests/==out/d_vcr.3.ckt.out                        |     2 +-
 tests/==out/d_vcr.4.ckt.out                        |     2 +-
 tests/==out/d_vcr.5.ckt.out                        |     2 +-
 tests/==out/e_storag.1.ckt.out                     |    82 +-
 tests/==out/l.ckt.out                              |    24 +-
 tests/==out/l2.ckt.out                             |    24 +-
 ...ang_verilog.1.gc.out => lang_verilog.1a.gc.out} |     0
 tests/==out/ll.ckt.out                             |    40 +-
 tests/==out/ll1.ckt.out                            |    40 +-
 tests/==out/lll.ckt.out                            |    32 +-
 tests/==out/llll.ckt.out                           |   108 +-
 tests/==out/m_expression.2.ckt.out                 |     2 +-
 tests/==out/m_expression.3.ckt.out                 |    10 +-
 tests/==out/opamp-ol-disto.2.ckt.out               |    56 +-
 tests/==out/opamp-ol-disto.ckt.out                 |    54 +-
 tests/==out/opamp-vf.1.ckt.out                     |     4 +-
 tests/==out/opamp-vf.1d.ckt.out                    |     4 +-
 tests/==out/opamp-vf.2.ckt.out                     |     4 +-
 tests/==out/opamp-vf.3.ckt.out                     |     4 +-
 tests/==out/opamp-vf.ckt.out                       |     4 +-
 tests/==out/oscillator.1.ckt.out                   |    16 +-
 tests/==out/oscillator.2.ckt.out                   |     6 +-
 tests/==out/oscillator.3.ckt.out                   |    14 +-
 tests/==out/oscillator.4.ckt.out                   |     6 +-
 tests/==out/oscillator.5.ckt.out                   |     2 +-
 tests/==out/oscillator.6.ckt.out                   |     6 +-
 tests/==out/oscillator.7.ckt.out                   |  2384 +-
 tests/==out/param.10.ckt.out                       |     4 +-
 tests/==out/probe-hidden.gc.out                    |    42 +
 tests/==out/s_tr.1.ckt.out                         |   127 +-
 tests/==out/s_tr.2.gc.out                          |    32 +
 tests/==out/s_tr.3.gc.out                          |    31 +
 tests/==out/s_tr.4.gc.out                          |    30 +
 tests/==out/s_tr.5.gc.out                          |    30 +
 tests/==out/s_tr.6.gc.out                          |    14 +
 tests/==out/s_tr.7.gc.out                          |    19 +
 tests/{bm_pulse.1.ckt => bm_pulse.1a.ckt}          |     4 +-
 tests/{bm_pulse.2.ckt => bm_pulse.2a.ckt}          |     4 +-
 tests/{bm_pulse.3.ckt => bm_pulse.3a.ckt}          |     4 +-
 tests/bm_pulse.4.ckt                               |     5 +
 tests/bm_pulse.4a.ckt                              |     5 +
 tests/bm_pulse.4b.ckt                              |    10 +
 tests/{d_coil.m3.ckt => d_coil.m3r.ckt}            |    36 +-
 tests/{d_mos1.nand1.ckt => d_mos1.nand3.ckt}       |     3 +-
 tests/d_subckt.error3.ckt                          |     9 +
 ...{d_switch.nro.2.ckt => d_switch.nro.2.auto.ckt} |     2 +-
 tests/{d_tcap.1.ckt => d_tcap.5.ckt}               |     4 +-
 tests/{lang_verilog.1.gc => lang_verilog.1a.gc}    |     4 +-
 tests/probe-hidden.gc                              |    10 +
 tests/s_tr.2.gc                                    |     8 +
 tests/s_tr.3.gc                                    |     9 +
 tests/s_tr.4.gc                                    |     8 +
 tests/s_tr.5.gc                                    |     8 +
 tests/s_tr.6.gc                                    |     8 +
 tests/s_tr.7.gc                                    |     8 +
 247 files changed, 10543 insertions(+), 33032 deletions(-)
 rename debian/{libgnucap-0.install => libgnucap-d0.install} (100%)
 delete mode 100644 include/d_subckt.h
 copy include/{d_coment.h => e_paramlist.h} (52%)
 create mode 100644 lib/e_paramlist.cc
 create mode 100644 tests/==out/bm_pulse.1a.ckt.out
 create mode 100644 tests/==out/bm_pulse.2a.ckt.out
 create mode 100644 tests/==out/bm_pulse.3a.ckt.out
 create mode 100644 tests/==out/bm_pulse.4.ckt.out
 create mode 100644 tests/==out/bm_pulse.4a.ckt.out
 create mode 100644 tests/==out/bm_pulse.4b.ckt.out
 copy tests/==out/{d_coil.m3.ckt.out => d_coil.m3r.ckt.out} (76%)
 create mode 100644 tests/==out/d_mos1.nand3.ckt.out
 create mode 100644 tests/==out/d_subckt.error3.ckt.out
 create mode 100644 tests/==out/d_switch.nro.2.auto.ckt.out
 create mode 100644 tests/==out/d_tcap.5.ckt.out
 copy tests/==out/{lang_verilog.1.gc.out => lang_verilog.1a.gc.out} (100%)
 create mode 100644 tests/==out/probe-hidden.gc.out
 create mode 100644 tests/==out/s_tr.2.gc.out
 create mode 100644 tests/==out/s_tr.3.gc.out
 create mode 100644 tests/==out/s_tr.4.gc.out
 create mode 100644 tests/==out/s_tr.5.gc.out
 create mode 100644 tests/==out/s_tr.6.gc.out
 create mode 100644 tests/==out/s_tr.7.gc.out
 copy tests/{bm_pulse.1.ckt => bm_pulse.1a.ckt} (61%)
 copy tests/{bm_pulse.2.ckt => bm_pulse.2a.ckt} (61%)
 copy tests/{bm_pulse.3.ckt => bm_pulse.3a.ckt} (64%)
 create mode 100644 tests/bm_pulse.4.ckt
 create mode 100644 tests/bm_pulse.4a.ckt
 create mode 100644 tests/bm_pulse.4b.ckt
 copy tests/{d_coil.m3.ckt => d_coil.m3r.ckt} (76%)
 copy tests/{d_mos1.nand1.ckt => d_mos1.nand3.ckt} (94%)
 create mode 100644 tests/d_subckt.error3.ckt
 copy tests/{d_switch.nro.2.ckt => d_switch.nro.2.auto.ckt} (90%)
 copy tests/{d_tcap.1.ckt => d_tcap.5.ckt} (90%)
 copy tests/{lang_verilog.1.gc => lang_verilog.1a.gc} (97%)
 create mode 100644 tests/probe-hidden.gc
 create mode 100644 tests/s_tr.2.gc
 create mode 100644 tests/s_tr.3.gc
 create mode 100644 tests/s_tr.4.gc
 create mode 100644 tests/s_tr.5.gc
 create mode 100644 tests/s_tr.6.gc
 create mode 100644 tests/s_tr.7.gc

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/pkg-electronics/gnucap.git



More information about the Pkg-electronics-commits mailing list