[Pkg-electronics-commits] [verilator] branch upstream updated (8a65809 -> 9779994)

Dr. Tobias Quathamer toddy at debian.org
Fri Jan 20 09:39:30 UTC 2017


This is an automated email from the git hooks/post-receive script.

toddy pushed a change to branch upstream
in repository verilator.

      from  8a65809   Imported Upstream version 3.890
       new  9779994   Imported Upstream version 3.900

The 1 revisions listed above as "new" are entirely new to this
repository and will be described in separate emails.  The revisions
listed as "adds" were already present in the repository and have only
been added to this reference.


Summary of changes:
 Changes                                            |  19 +-
 Makefile.in                                        |   2 +-
 README                                             |   2 +-
 README.html                                        |   2 +-
 README.pdf                                         | Bin 90097 -> 89551 bytes
 README.pod                                         |   2 +-
 TODO                                               |   2 +-
 bin/verilator                                      |  44 +-
 bin/verilator_coverage                             |   4 +-
 bin/verilator_difftree                             |   2 +-
 bin/verilator_includer                             |   2 +-
 bin/verilator_profcfunc                            |   2 +-
 configure                                          |  18 +-
 configure.ac                                       |   4 +-
 include/verilated.cpp                              |   2 +-
 include/verilated.h                                |   2 +-
 include/verilated.mk.in                            |   2 +-
 include/verilated.v                                |   2 +-
 include/verilated_config.h                         |   4 +-
 include/verilated_config.h.in                      |   2 +-
 include/verilated_cov.cpp                          |   2 +-
 include/verilated_cov.h                            |   2 +-
 include/verilated_cov_key.h                        |   2 +-
 include/verilated_dpi.cpp                          |   2 +-
 include/verilated_dpi.h                            |   2 +-
 include/verilated_heavy.h                          |   2 +-
 include/verilated_imp.h                            |   2 +-
 include/verilated_save.cpp                         |   2 +-
 include/verilated_save.h                           |   2 +-
 include/verilated_sc.h                             |   2 +-
 include/verilated_syms.h                           |   2 +-
 include/verilated_vcd_c.cpp                        |   2 +-
 include/verilated_vcd_c.h                          |   2 +-
 include/verilated_vcd_sc.cpp                       |   2 +-
 include/verilated_vcd_sc.h                         |   2 +-
 include/verilated_vpi.cpp                          |   2 +-
 include/verilated_vpi.h                            |   2 +-
 include/verilatedos.h                              |   2 +-
 internals.html                                     |   2 +-
 internals.pdf                                      | Bin 163359 -> 162851 bytes
 internals.pod                                      |   2 +-
 internals.txt                                      |   2 +-
 src/.gdbinit                                       |   2 +-
 src/Makefile.in                                    |   2 +-
 src/Makefile_obj.in                                |   2 +-
 src/V3Active.cpp                                   |  50 +-
 src/V3Active.h                                     |   2 +-
 src/V3ActiveTop.cpp                                |  26 +-
 src/V3ActiveTop.h                                  |   2 +-
 src/V3Assert.cpp                                   |  41 +-
 src/V3Assert.h                                     |   2 +-
 src/V3AssertPre.cpp                                |  12 +-
 src/V3AssertPre.h                                  |   2 +-
 src/V3Ast.cpp                                      |  62 +-
 src/V3Ast.h                                        | 180 ++--
 src/V3AstConstOnly.h                               |   2 +-
 src/V3AstNodes.cpp                                 |   2 +-
 src/V3AstNodes.h                                   |  26 +-
 src/V3Begin.cpp                                    |  30 +-
 src/V3Begin.h                                      |   2 +-
 src/V3Branch.cpp                                   |  10 +-
 src/V3Branch.h                                     |   2 +-
 src/V3Broken.cpp                                   |   6 +-
 src/V3Broken.h                                     |   2 +-
 src/V3CCtors.cpp                                   |   2 +-
 src/V3CCtors.h                                     |   2 +-
 src/V3Case.cpp                                     |  12 +-
 src/V3Case.h                                       |   2 +-
 src/V3Cast.cpp                                     |  20 +-
 src/V3Cast.h                                       |   2 +-
 src/V3Cdc.cpp                                      |  54 +-
 src/V3Cdc.h                                        |   2 +-
 src/V3Changed.cpp                                  |  22 +-
 src/V3Changed.h                                    |   2 +-
 src/V3Clean.cpp                                    |  48 +-
 src/V3Clean.h                                      |   2 +-
 src/V3ClkGater.cpp                                 |  42 +-
 src/V3ClkGater.h                                   |   2 +-
 src/V3Clock.cpp                                    |  30 +-
 src/V3Clock.h                                      |   2 +-
 src/V3Combine.cpp                                  |  28 +-
 src/V3Combine.h                                    |   2 +-
 src/V3Config.cpp                                   |   2 +-
 src/V3Config.h                                     |   2 +-
 src/V3Const.cpp                                    |  82 +-
 src/V3Const.h                                      |   2 +-
 src/V3Coverage.cpp                                 |  22 +-
 src/V3Coverage.h                                   |   2 +-
 src/V3CoverageJoin.cpp                             |  10 +-
 src/V3CoverageJoin.h                               |   2 +-
 src/V3Dead.cpp                                     |  38 +-
 src/V3Dead.h                                       |   2 +-
 src/V3Delayed.cpp                                  |  34 +-
 src/V3Delayed.h                                    |   2 +-
 src/V3Depth.cpp                                    |  20 +-
 src/V3Depth.h                                      |   2 +-
 src/V3DepthBlock.cpp                               |  14 +-
 src/V3DepthBlock.h                                 |   2 +-
 src/V3Descope.cpp                                  |  18 +-
 src/V3Descope.h                                    |   2 +-
 src/V3EmitC.cpp                                    | 142 +--
 src/V3EmitC.h                                      |   2 +-
 src/V3EmitCBase.h                                  |   4 +-
 src/V3EmitCInlines.cpp                             |  10 +-
 src/V3EmitCSyms.cpp                                |  22 +-
 src/V3EmitMk.cpp                                   |   4 +-
 src/V3EmitMk.h                                     |   2 +-
 src/V3EmitV.cpp                                    | 166 ++--
 src/V3EmitV.h                                      |   2 +-
 src/V3EmitXml.cpp                                  |  16 +-
 src/V3EmitXml.h                                    |   2 +-
 src/V3Error.cpp                                    |   2 +-
 src/V3Error.h                                      |   2 +-
 src/V3Expand.cpp                                   |  30 +-
 src/V3Expand.h                                     |   2 +-
 src/V3File.cpp                                     |   2 +-
 src/V3File.h                                       |   2 +-
 src/V3FileLine.cpp                                 |   2 +-
 src/V3FileLine.h                                   |   2 +-
 src/V3Gate.cpp                                     | 118 +--
 src/V3Gate.h                                       |   2 +-
 src/V3GenClk.cpp                                   |  30 +-
 src/V3GenClk.h                                     |   2 +-
 src/V3Global.h                                     |   2 +-
 src/V3Graph.cpp                                    |   2 +-
 src/V3Graph.h                                      |   4 +-
 src/V3GraphAcyc.cpp                                |   2 +-
 src/V3GraphAlg.cpp                                 |   2 +-
 src/V3GraphAlg.h                                   |   2 +-
 src/V3GraphDfa.cpp                                 |  16 +-
 src/V3GraphDfa.h                                   |  17 +-
 src/V3GraphTest.cpp                                |   8 +-
 src/V3Hashed.cpp                                   |  10 +-
 src/V3Hashed.h                                     |   2 +-
 src/V3Inline.cpp                                   |  78 +-
 src/V3Inline.h                                     |   2 +-
 src/V3Inst.cpp                                     |  26 +-
 src/V3Inst.h                                       |   2 +-
 src/V3LangCode.h                                   |   2 +-
 src/V3LanguageWords.h                              |   2 +-
 src/V3Life.cpp                                     |  42 +-
 src/V3Life.h                                       |   2 +-
 src/V3LifePost.cpp                                 |  26 +-
 src/V3LifePost.h                                   |   2 +-
 src/V3LinkCells.cpp                                |  20 +-
 src/V3LinkCells.h                                  |   2 +-
 src/V3LinkDot.cpp                                  | 144 ++--
 src/V3LinkDot.h                                    |   2 +-
 src/V3LinkJump.cpp                                 |  26 +-
 src/V3LinkJump.h                                   |   2 +-
 src/V3LinkLValue.cpp                               |  44 +-
 src/V3LinkLValue.h                                 |   2 +-
 src/V3LinkLevel.cpp                                |   2 +-
 src/V3LinkLevel.h                                  |   2 +-
 src/V3LinkParse.cpp                                |  34 +-
 src/V3LinkParse.h                                  |   2 +-
 src/V3LinkResolve.cpp                              |  74 +-
 src/V3LinkResolve.h                                |   2 +-
 src/V3List.h                                       |   2 +-
 src/V3Localize.cpp                                 |  20 +-
 src/V3Localize.h                                   |   2 +-
 src/V3Name.cpp                                     |  20 +-
 src/V3Name.h                                       |   2 +-
 src/V3Number.cpp                                   |   2 +-
 src/V3Number.h                                     |   2 +-
 src/V3Number_test.cpp                              |   2 +-
 src/V3Options.cpp                                  |   4 +-
 src/V3Options.h                                    |   2 +-
 src/V3Order.cpp                                    |  66 +-
 src/V3Order.h                                      |   2 +-
 src/V3OrderGraph.h                                 |   2 +-
 src/V3Os.cpp                                       |   2 +-
 src/V3Os.h                                         |   2 +-
 src/V3Param.cpp                                    |  30 +-
 src/V3Param.h                                      |   2 +-
 src/V3Parse.h                                      |   2 +-
 src/V3ParseGrammar.cpp                             |   2 +-
 src/V3ParseImp.cpp                                 |   2 +-
 src/V3ParseImp.h                                   |   2 +-
 src/V3ParseLex.cpp                                 |   2 +-
 src/V3ParseSym.h                                   |   4 +-
 src/V3PreLex.h                                     |   2 +-
 src/V3PreLex.l                                     |   2 +-
 src/V3PreProc.cpp                                  |   2 +-
 src/V3PreProc.h                                    |   2 +-
 src/V3PreShell.cpp                                 |   2 +-
 src/V3PreShell.h                                   |   2 +-
 src/V3Premit.cpp                                   |  48 +-
 src/V3Premit.h                                     |   2 +-
 src/V3Scope.cpp                                    |  70 +-
 src/V3Scope.h                                      |   2 +-
 src/V3SenTree.h                                    |  16 +-
 src/V3Simulate.h                                   | 123 +--
 src/V3Slice.cpp                                    |  54 +-
 src/V3Slice.h                                      |   2 +-
 src/V3Split.cpp                                    |  14 +-
 src/V3Split.h                                      |   2 +-
 src/V3SplitAs.cpp                                  |  18 +-
 src/V3SplitAs.h                                    |   2 +-
 src/V3Stats.cpp                                    |  18 +-
 src/V3Stats.h                                      |   2 +-
 src/V3StatsReport.cpp                              |   2 +-
 src/V3String.cpp                                   |   2 +-
 src/V3String.h                                     |   2 +-
 src/V3Subst.cpp                                    |  20 +-
 src/V3Subst.h                                      |   2 +-
 src/V3SymTable.h                                   |   2 +-
 src/V3Table.cpp                                    |  16 +-
 src/V3Table.h                                      |   2 +-
 src/V3Task.cpp                                     |  52 +-
 src/V3Task.h                                       |   2 +-
 src/V3Trace.cpp                                    |  30 +-
 src/V3Trace.h                                      |   2 +-
 src/V3TraceDecl.cpp                                |  22 +-
 src/V3TraceDecl.h                                  |   2 +-
 src/V3Tristate.cpp                                 |  70 +-
 src/V3Tristate.h                                   |   2 +-
 src/V3Undriven.cpp                                 |  32 +-
 src/V3Undriven.h                                   |   2 +-
 src/V3Unknown.cpp                                  |  32 +-
 src/V3Unknown.h                                    |   2 +-
 src/V3Unroll.cpp                                   |  15 +-
 src/V3Unroll.h                                     |   2 +-
 src/V3Width.cpp                                    | 951 +++++++++++----------
 src/V3Width.h                                      |   2 +-
 src/V3WidthCommit.h                                |  22 +-
 src/V3WidthSel.cpp                                 |  12 +-
 src/Verilator.cpp                                  |   2 +-
 src/VlcBucket.h                                    |   2 +-
 src/VlcMain.cpp                                    |   4 +-
 src/VlcOptions.h                                   |   2 +-
 src/VlcPoint.h                                     |   2 +-
 src/VlcSource.h                                    |   2 +-
 src/VlcTest.h                                      |   2 +-
 src/VlcTop.cpp                                     |   2 +-
 src/VlcTop.h                                       |   2 +-
 src/astgen                                         |  10 +-
 src/bisonpre                                       |   2 +-
 src/config_build.h                                 |   4 +-
 src/config_build.h.in                              |   2 +-
 src/config_rev.h                                   |   2 +-
 src/config_rev.pl                                  |   2 +-
 src/cppcheck_filtered                              |   2 +-
 src/flexfix                                        |   2 +-
 src/pod2latexfix                                   |   2 +-
 src/verilog.l                                      |   4 +-
 src/verilog.y                                      |  32 +-
 src/vlcovgen                                       |   2 +-
 test_c/Makefile                                    |   2 +-
 test_c/Makefile_obj                                |   2 +-
 test_c/sim_main.cpp                                |   2 +-
 test_regress/Makefile                              |   2 +-
 test_regress/Makefile_obj                          |   2 +-
 test_regress/driver.pl                             |   2 +-
 test_regress/t/TestSimulator.h                     |   2 +-
 test_regress/t/TestVpi.h                           |   2 +-
 test_regress/t/t_EXAMPLE.v                         |   2 +-
 .../t/{t_uniqueif.pl => t_assert_casez.pl}         |   1 -
 test_regress/t/t_assert_casez.v                    |  26 +
 test_regress/t/t_display.pl                        |   1 +
 test_regress/t/t_display.v                         |   1 +
 test_regress/t/t_display_noopt.pl                  |   1 +
 ...t_sv_bus_mux_demux.pl => t_interface_param2.pl} |   8 +-
 test_regress/t/t_interface_param2.v                |  46 +
 ...nterface_param1.pl => t_lint_unsup_deassign.pl} |   2 +-
 .../{t_param_wide_io.v => t_lint_unsup_deassign.v} |  20 +-
 ...t_interface_param1.pl => t_lint_unsup_mixed.pl} |   2 +-
 test_regress/t/t_lint_unsup_mixed.v                |  33 +
 ...array_interface.pl => t_trace_packed_struct.pl} |   1 +
 test_regress/t/t_trace_packed_struct.v             |  29 +
 .../t/{t_EXAMPLE.pl => t_unroll_forfor.pl}         |   0
 test_regress/t/t_unroll_forfor.v                   |  35 +
 test_sc/Makefile                                   |   2 +-
 test_sc/Makefile_obj                               |   2 +-
 test_sc/sc_main.cpp                                |   2 +-
 test_verilated/sim_main.cpp                        |   2 +-
 test_verilated/sim_main.v                          |   2 +-
 test_verilated/vgen.pl                             |   2 +-
 test_verilated/vgen.v                              | 280 +++---
 verilator.html                                     |   2 +-
 verilator.pdf                                      | Bin 326013 -> 326535 bytes
 verilator.txt                                      |   2 +-
 282 files changed, 2596 insertions(+), 2214 deletions(-)
 copy test_regress/t/{t_uniqueif.pl => t_assert_casez.pl} (94%)
 create mode 100644 test_regress/t/t_assert_casez.v
 copy test_regress/t/{t_sv_bus_mux_demux.pl => t_interface_param2.pl} (91%)
 create mode 100644 test_regress/t/t_interface_param2.v
 copy test_regress/t/{t_interface_param1.pl => t_lint_unsup_deassign.pl} (91%)
 copy test_regress/t/{t_param_wide_io.v => t_lint_unsup_deassign.v} (54%)
 copy test_regress/t/{t_interface_param1.pl => t_lint_unsup_mixed.pl} (91%)
 create mode 100644 test_regress/t/t_lint_unsup_mixed.v
 copy test_regress/t/{t_array_interface.pl => t_trace_packed_struct.pl} (94%)
 create mode 100644 test_regress/t/t_trace_packed_struct.v
 copy test_regress/t/{t_EXAMPLE.pl => t_unroll_forfor.pl} (100%)
 create mode 100644 test_regress/t/t_unroll_forfor.v

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/pkg-electronics/verilator.git



More information about the Pkg-electronics-commits mailing list