[Pkg-electronics-commits] [verilator] branch master updated (02810ac -> 846749e)

Dr. Tobias Quathamer toddy at debian.org
Sun Jul 16 20:27:49 UTC 2017


This is an automated email from the git hooks/post-receive script.

toddy pushed a change to branch master
in repository verilator.

      from  02810ac   Release 3.900-1
       new  a4ba13c   New upstream version 3.906
       new  fc4fe6a   Merge tag 'upstream/3.906'
       new  a98f88d   Use HTTPS URL for d/copyright
       new  25defd0   Update to Standards-Version 4.0.0
       new  846749e   Prepare Debian release 3.906-1

The 5 revisions listed above as "new" are entirely new to this
repository and will be described in separate emails.  The revisions
listed as "adds" were already present in the repository and have only
been added to this reference.


Summary of changes:
 Changes                                            |  69 ++
 Makefile.in                                        |   2 +
 README.pdf                                         | Bin 89551 -> 90329 bytes
 bin/verilator                                      | 116 ++-
 configure                                          |  18 +-
 configure.ac                                       |   2 +-
 debian/changelog                                   |   9 +
 debian/control                                     |   2 +-
 debian/copyright                                   |   2 +-
 include/verilated.cpp                              | 196 +++--
 include/verilated.h                                | 287 +++++---
 include/verilated_config.h                         |   2 +-
 include/verilated_cov.cpp                          |  16 +-
 include/verilated_cov.h                            |   4 +-
 include/verilated_dpi.h                            |  10 +-
 include/verilated_heavy.h                          |  21 +-
 include/verilated_imp.h                            |   4 +-
 include/verilated_vcd_c.h                          |   9 +
 include/verilated_vpi.cpp                          |  24 +-
 internals.pdf                                      | Bin 162851 -> 163306 bytes
 src/V3ActiveTop.cpp                                |   2 +-
 src/V3Assert.cpp                                   |  18 +-
 src/V3Ast.h                                        |  19 +-
 src/V3AstNodes.cpp                                 |   9 +
 src/V3AstNodes.h                                   |  45 +-
 src/V3Broken.cpp                                   |  10 +-
 src/V3CCtors.cpp                                   |   9 +-
 src/V3Case.cpp                                     |   2 +-
 src/V3Cdc.cpp                                      |   4 +-
 src/V3Changed.cpp                                  |   2 +-
 src/V3ClkGater.cpp                                 |   2 +-
 src/V3Clock.cpp                                    |   2 +-
 src/V3Combine.cpp                                  |   2 +-
 src/V3Const.cpp                                    |  10 +-
 src/V3Delayed.cpp                                  |   8 +-
 src/V3EmitC.cpp                                    |  96 +--
 src/V3EmitCSyms.cpp                                |   7 +-
 src/V3EmitMk.cpp                                   |   8 +-
 src/V3EmitV.cpp                                    |   4 -
 src/V3Error.h                                      |   7 +-
 src/V3File.cpp                                     |   7 +
 src/V3File.h                                       |   7 +-
 src/V3FileLine.cpp                                 |   2 +-
 src/V3Gate.cpp                                     | 220 +++++-
 src/V3GraphAlg.cpp                                 |   2 +-
 src/V3GraphDfa.cpp                                 |   4 +-
 src/V3Inline.cpp                                   |   7 +-
 src/V3Inst.cpp                                     | 182 +++--
 src/V3LinkCells.cpp                                |   3 +-
 src/V3LinkDot.cpp                                  |  59 +-
 src/V3LinkLValue.cpp                               |   4 +-
 src/V3LinkResolve.cpp                              |   4 +-
 src/V3Number.cpp                                   |  35 +-
 src/V3Number.h                                     |   2 +-
 src/V3Number_test.cpp                              |   2 +-
 src/V3Options.cpp                                  |  33 +-
 src/V3Options.h                                    |  16 +-
 src/V3Order.cpp                                    |  10 +-
 src/V3Os.cpp                                       |  19 +
 src/V3Os.h                                         |   1 +
 src/V3Param.cpp                                    |  68 +-
 src/V3PreShell.cpp                                 |   9 +-
 src/V3Simulate.h                                   | 199 +++--
 src/V3Split.cpp                                    |   8 +-
 src/V3SymTable.h                                   |  13 +-
 src/V3Task.cpp                                     |   4 +-
 src/V3Unknown.cpp                                  |   2 +-
 src/V3Width.cpp                                    |  68 +-
 src/V3WidthSel.cpp                                 |  19 +-
 src/VlcMain.cpp                                    |   2 +-
 src/config_build.h                                 |   2 +-
 src/config_rev.h                                   |   2 +-
 src/verilog.l                                      |   2 +-
 src/verilog.y                                      |  24 +-
 test_regress/driver.pl                             |   7 +-
 .../t/{t_assert_casez.pl => t_assert_question.pl}  |   0
 test_regress/t/t_assert_question.v                 |  33 +
 .../t/{t_interface1.pl => t_clk_concat.pl}         |   0
 test_regress/t/t_clk_concat.v                      |  97 +++
 .../t/{t_interface1.pl => t_clk_concat2.pl}        |   0
 test_regress/t/t_clk_concat2.v                     | 106 +++
 .../t/{t_interface1.pl => t_clk_concat3.pl}        |   0
 test_regress/t/t_clk_concat3.v                     | 101 +++
 .../t/{t_interface1.pl => t_clk_concat4.pl}        |   0
 test_regress/t/t_clk_concat4.v                     | 105 +++
 .../t/{t_interface1.pl => t_clk_concat5.pl}        |   0
 test_regress/t/t_clk_concat5.v                     | 104 +++
 .../t/{t_interface1.pl => t_clk_concat6.pl}        |   0
 test_regress/t/t_clk_concat6.v                     | 113 +++
 test_regress/t/t_flag_fi.cpp                       |  39 +
 .../t/{t_lint_incabspath.pl => t_flag_fi.pl}       |   9 +-
 test_regress/t/{t_vpi_sc.v => t_flag_fi.v}         |  12 +-
 .../t/{t_flag_ldflags_a.cpp => t_flag_fi_h.h}      |   6 +-
 .../t/{t_lint_defparam.pl => t_flag_relinc.pl}     |   6 +-
 .../t/{t_dpi_exp_bad.v => t_flag_relinc.v}         |   7 +-
 .../chip/t_flag_relinc_sub.v}                      |  12 +-
 .../include/t_flag_relinc.vh}                      |   9 +-
 test_regress/t/t_func_const2_bad.pl                |  31 +
 test_regress/t/t_func_const2_bad.v                 |  28 +
 test_regress/t/t_func_const_bad.pl                 |  44 +-
 test_regress/t/t_func_const_bad.v                  |   8 +
 test_regress/t/t_func_const_packed_array_bad.pl    |  30 +
 test_regress/t/t_func_const_packed_array_bad.v     |  32 +
 test_regress/t/t_func_const_packed_struct_bad.pl   |  30 +
 test_regress/t/t_func_const_packed_struct_bad.v    |  34 +
 test_regress/t/t_func_const_packed_struct_bad2.pl  |  30 +
 test_regress/t/t_func_const_packed_struct_bad2.v   |  44 ++
 test_regress/t/t_func_const_struct_bad.pl          |  30 +
 test_regress/t/t_func_const_struct_bad.v           |  39 +
 ...t_array_interface.pl => t_func_default_warn.pl} |   1 +
 test_regress/t/t_func_default_warn.v               |  28 +
 .../{t_inst_prepost.pl => t_initarray_nonarray.pl} |   0
 test_regress/t/t_initarray_nonarray.v              |  26 +
 test_regress/t/{t_EXAMPLE.pl => t_inst_darray.pl}  |   0
 test_regress/t/t_inst_darray.v                     |  85 +++
 ...ct_array_modport.pl => t_interface_arraymux.pl} |   0
 test_regress/t/t_interface_arraymux.v              | 120 +++
 ...ing_bad.pl => t_interface_param_another_bad.pl} |   4 +-
 test_regress/t/t_interface_param_another_bad.v     |  17 +
 ..._EXAMPLE.pl => t_interface_parameter_access.pl} |   0
 test_regress/t/t_interface_parameter_access.v      |  89 +++
 ...t_select_bad_tri.pl => t_interface_size_bad.pl} |  11 +-
 test_regress/t/t_interface_size_bad.v              |  21 +
 .../t/{t_interface1.pl => t_interface_star.pl}     |   0
 test_regress/t/t_interface_star.v                  |  41 ++
 test_regress/t/t_interface_typo_bad.pl             |   3 +-
 .../t/{t_mod_dup_ign.pl => t_lint_literal_bad.pl}  |  10 +-
 .../t/{t_flag_lib.v => t_lint_literal_bad.v}       |   7 +-
 test_regress/t/{t_EXAMPLE.pl => t_math_pow5.pl}    |   0
 test_regress/t/t_math_pow5.v                       |  73 ++
 test_regress/t/t_math_shift.v                      |  17 +-
 test_regress/t/t_math_signed5.v                    |   1 +
 test_regress/t/{t_EXAMPLE.pl => t_mem_banks.pl}    |   0
 test_regress/t/t_mem_banks.v                       |  72 ++
 test_regress/t/{t_EXAMPLE.pl => t_param_array2.pl} |   0
 test_regress/t/t_param_array2.v                    |  21 +
 test_regress/t/{t_EXAMPLE.pl => t_param_local.pl}  |   0
 test_regress/t/t_param_local.v                     |  28 +
 test_regress/t/t_struct_init.v                     |   6 +-
 ...tsel_wire_array_bad.pl => t_struct_init_bad.pl} |  12 +-
 test_regress/t/{t_EXAMPLE.pl => t_struct_param.pl} |   0
 test_regress/t/t_struct_param.v                    |  54 ++
 test_regress/t/t_sys_plusargs.v                    |  28 +-
 test_regress/t/t_sys_plusargs_bad.pl               |  12 +-
 test_regress/t/t_sys_plusargs_bad.v                |   3 +-
 ...trace_public_func.cpp => t_trace_timescale.cpp} |  29 +-
 ...t_trace_cat_renew.out => t_trace_timescale.out} | 802 +++++++++++++--------
 .../t/{t_trace_cat.pl => t_trace_timescale.pl}     |   7 +-
 .../t/{t_trace_cat.v => t_trace_timescale.v}       |   0
 .../t/{t_EXAMPLE.pl => t_typedef_array.pl}         |   0
 test_regress/t/t_typedef_array.v                   |  26 +
 test_regress/t/t_vams_wreal.v                      | 124 +++-
 test_verilated/vgen.pl                             |   5 +-
 test_verilated/vgen.v                              | 278 +++----
 verilator.html                                     | 122 +++-
 verilator.pdf                                      | Bin 326535 -> 328669 bytes
 verilator.txt                                      | 154 ++--
 157 files changed, 4389 insertions(+), 1194 deletions(-)
 copy test_regress/t/{t_assert_casez.pl => t_assert_question.pl} (100%)
 create mode 100644 test_regress/t/t_assert_question.v
 copy test_regress/t/{t_interface1.pl => t_clk_concat.pl} (100%)
 create mode 100644 test_regress/t/t_clk_concat.v
 copy test_regress/t/{t_interface1.pl => t_clk_concat2.pl} (100%)
 create mode 100644 test_regress/t/t_clk_concat2.v
 copy test_regress/t/{t_interface1.pl => t_clk_concat3.pl} (100%)
 create mode 100644 test_regress/t/t_clk_concat3.v
 copy test_regress/t/{t_interface1.pl => t_clk_concat4.pl} (100%)
 create mode 100644 test_regress/t/t_clk_concat4.v
 copy test_regress/t/{t_interface1.pl => t_clk_concat5.pl} (100%)
 create mode 100644 test_regress/t/t_clk_concat5.v
 copy test_regress/t/{t_interface1.pl => t_clk_concat6.pl} (100%)
 create mode 100644 test_regress/t/t_clk_concat6.v
 create mode 100644 test_regress/t/t_flag_fi.cpp
 copy test_regress/t/{t_lint_incabspath.pl => t_flag_fi.pl} (79%)
 copy test_regress/t/{t_vpi_sc.v => t_flag_fi.v} (61%)
 copy test_regress/t/{t_flag_ldflags_a.cpp => t_flag_fi_h.h} (85%)
 copy test_regress/t/{t_lint_defparam.pl => t_flag_relinc.pl} (82%)
 copy test_regress/t/{t_dpi_exp_bad.v => t_flag_relinc.v} (55%)
 copy test_regress/t/{t_dpi_name_bad.v => t_flag_relinc_dir/chip/t_flag_relinc_sub.v} (59%)
 copy test_regress/t/{t_sys_readmem_bad_end.mem => t_flag_relinc_dir/include/t_flag_relinc.vh} (51%)
 create mode 100755 test_regress/t/t_func_const2_bad.pl
 create mode 100644 test_regress/t/t_func_const2_bad.v
 create mode 100755 test_regress/t/t_func_const_packed_array_bad.pl
 create mode 100644 test_regress/t/t_func_const_packed_array_bad.v
 create mode 100755 test_regress/t/t_func_const_packed_struct_bad.pl
 create mode 100644 test_regress/t/t_func_const_packed_struct_bad.v
 create mode 100755 test_regress/t/t_func_const_packed_struct_bad2.pl
 create mode 100644 test_regress/t/t_func_const_packed_struct_bad2.v
 create mode 100755 test_regress/t/t_func_const_struct_bad.pl
 create mode 100644 test_regress/t/t_func_const_struct_bad.v
 copy test_regress/t/{t_array_interface.pl => t_func_default_warn.pl} (92%)
 create mode 100644 test_regress/t/t_func_default_warn.v
 copy test_regress/t/{t_inst_prepost.pl => t_initarray_nonarray.pl} (100%)
 create mode 100644 test_regress/t/t_initarray_nonarray.v
 copy test_regress/t/{t_EXAMPLE.pl => t_inst_darray.pl} (100%)
 create mode 100644 test_regress/t/t_inst_darray.v
 copy test_regress/t/{t_slice_struct_array_modport.pl => t_interface_arraymux.pl} (100%)
 create mode 100644 test_regress/t/t_interface_arraymux.v
 copy test_regress/t/{t_interface_missing_bad.pl => t_interface_param_another_bad.pl} (75%)
 create mode 100644 test_regress/t/t_interface_param_another_bad.v
 copy test_regress/t/{t_EXAMPLE.pl => t_interface_parameter_access.pl} (100%)
 create mode 100644 test_regress/t/t_interface_parameter_access.v
 copy test_regress/t/{t_select_bad_tri.pl => t_interface_size_bad.pl} (51%)
 create mode 100644 test_regress/t/t_interface_size_bad.v
 copy test_regress/t/{t_interface1.pl => t_interface_star.pl} (100%)
 create mode 100644 test_regress/t/t_interface_star.v
 copy test_regress/t/{t_mod_dup_ign.pl => t_lint_literal_bad.pl} (69%)
 copy test_regress/t/{t_flag_lib.v => t_lint_literal_bad.v} (56%)
 copy test_regress/t/{t_EXAMPLE.pl => t_math_pow5.pl} (100%)
 create mode 100644 test_regress/t/t_math_pow5.v
 copy test_regress/t/{t_EXAMPLE.pl => t_mem_banks.pl} (100%)
 create mode 100644 test_regress/t/t_mem_banks.v
 copy test_regress/t/{t_EXAMPLE.pl => t_param_array2.pl} (100%)
 create mode 100644 test_regress/t/t_param_array2.v
 copy test_regress/t/{t_EXAMPLE.pl => t_param_local.pl} (100%)
 create mode 100644 test_regress/t/t_param_local.v
 copy test_regress/t/{t_bitsel_wire_array_bad.pl => t_struct_init_bad.pl} (68%)
 copy test_regress/t/{t_EXAMPLE.pl => t_struct_param.pl} (100%)
 create mode 100644 test_regress/t/t_struct_param.v
 copy test_regress/t/{t_trace_public_func.cpp => t_trace_timescale.cpp} (58%)
 copy test_regress/t/{t_trace_cat_renew.out => t_trace_timescale.out} (59%)
 copy test_regress/t/{t_trace_cat.pl => t_trace_timescale.pl} (76%)
 copy test_regress/t/{t_trace_cat.v => t_trace_timescale.v} (100%)
 copy test_regress/t/{t_EXAMPLE.pl => t_typedef_array.pl} (100%)
 create mode 100644 test_regress/t/t_typedef_array.v

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/pkg-electronics/verilator.git



More information about the Pkg-electronics-commits mailing list