[Pkg-electronics-commits] [verilator] 02/05: Merge tag 'upstream/3.916'

أحمد المحمودي (Ahmed El-Mahmoudy) aelmahmoudy at users.sourceforge.net
Sun Nov 26 00:26:56 UTC 2017


This is an automated email from the git hooks/post-receive script.

aelmahmoudy-guest pushed a commit to branch master
in repository verilator.

commit c670d014be1acdce7b39bba2bf7b80b79aa3342b
Merge: 3a06e6b 9335a90
Author: أحمد المحمودي (Ahmed El-Mahmoudy) <aelmahmoudy at users.sourceforge.net>
Date:   Sun Nov 26 00:45:46 2017 +0100

    Merge tag 'upstream/3.916'
    
    Upstream version 3.916

 Changes                                            |  25 +
 Makefile.in                                        |  14 +-
 README.pdf                                         | Bin 89870 -> 90333 bytes
 bin/verilator                                      | 220 +++++---
 bin/verilator_coverage                             |   2 +-
 configure                                          | 193 +++++--
 configure.ac                                       |  24 +-
 examples/tracing_c/Makefile                        |   2 +-
 examples/tracing_c/sim_main.cpp                    |  23 +-
 examples/tracing_sc/Makefile                       |   2 +-
 examples/tracing_sc/sc_main.cpp                    |  17 +-
 include/verilated.cpp                              | 453 +++++++++++----
 include/verilated.h                                | 625 +++++++++++++--------
 include/verilated.mk.in                            |  10 +
 include/verilated_config.h                         |   2 +-
 include/verilated_cov.cpp                          | 110 ++--
 include/verilated_cov.h                            |  20 +-
 include/verilated_cov_key.h                        |  13 +-
 include/verilated_dpi.cpp                          |   4 +-
 include/verilated_dpi.h                            |   8 +-
 include/verilated_heavy.h                          |  32 +-
 include/verilated_imp.h                            | 290 ++++++++--
 include/verilated_save.cpp                         |  42 +-
 include/verilated_save.h                           |  68 ++-
 include/verilated_sc.h                             |   3 +-
 include/verilated_syms.h                           |   5 +
 include/verilated_vcd_c.cpp                        |  63 ++-
 include/verilated_vcd_c.h                          |  55 +-
 include/verilated_vcd_sc.h                         |   7 +-
 include/verilated_vpi.cpp                          | 581 +++++++++++++++++--
 include/verilated_vpi.h                            | 468 +--------------
 include/verilatedos.h                              |  94 +++-
 internals.pdf                                      | Bin 162997 -> 163127 bytes
 src/Makefile_obj.in                                |   1 +
 src/V3Assert.cpp                                   |   8 +-
 src/V3Ast.cpp                                      |   2 +-
 src/V3Ast.h                                        |  88 ++-
 src/V3AstNodes.cpp                                 |  20 +-
 src/V3AstNodes.h                                   | 303 ++++++----
 src/V3Branch.h                                     |   2 +-
 src/V3Broken.cpp                                   |   2 +-
 src/V3CCtors.cpp                                   |  42 +-
 src/V3CCtors.h                                     |   2 +
 src/V3Cdc.cpp                                      |   2 +-
 src/V3ClkGater.cpp                                 |   2 +-
 src/V3Const.cpp                                    |  18 +-
 src/V3Coverage.h                                   |   2 +-
 src/V3CoverageJoin.h                               |   2 +-
 src/V3Dead.cpp                                     |  12 +-
 src/V3EmitC.cpp                                    |  59 +-
 src/V3EmitCSyms.cpp                                |   2 +-
 src/V3EmitMk.cpp                                   |   2 +
 src/V3EmitV.cpp                                    |   4 +
 src/V3EmitXml.cpp                                  |  61 +-
 src/V3Error.h                                      |  10 +-
 src/V3File.cpp                                     |   8 +-
 src/V3File.h                                       |  13 +-
 src/V3FileLine.cpp                                 |   7 +-
 src/V3Gate.cpp                                     |   3 +-
 src/V3GenClk.cpp                                   |  30 +-
 src/V3Global.h                                     |   2 +-
 src/V3Graph.cpp                                    |   8 +-
 src/V3Graph.h                                      |  11 +-
 src/V3GraphAlg.cpp                                 |   2 +-
 src/V3GraphTest.cpp                                |   2 +-
 src/V3Hashed.cpp                                   |   2 +-
 src/V3LinkCells.cpp                                |  74 ++-
 src/V3LinkDot.cpp                                  |  50 +-
 src/V3LinkParse.cpp                                |   2 +-
 src/V3Options.cpp                                  |   9 +-
 src/V3Options.h                                    |   9 +-
 src/V3Order.cpp                                    | 137 +++--
 src/V3OrderGraph.h                                 | 128 +----
 src/V3Param.cpp                                    |  78 ++-
 src/V3Parse.h                                      |   4 +-
 src/V3ParseImp.h                                   |   4 +-
 src/V3ParseSym.h                                   |  21 +-
 src/V3PreLex.l                                     |  12 +-
 src/V3PreShell.cpp                                 |  15 +-
 src/V3Slice.cpp                                    |  11 +-
 src/V3Split.cpp                                    |   2 +-
 src/V3Stats.cpp                                    |  77 +--
 src/V3StatsReport.cpp                              |   5 +-
 src/V3SymTable.h                                   |  34 +-
 src/V3Tristate.cpp                                 |   5 +
 src/V3Undriven.cpp                                 |  23 +-
 src/V3Unknown.cpp                                  |   3 +
 src/V3Width.cpp                                    |  58 +-
 src/V3WidthSel.cpp                                 |  17 +-
 src/Verilator.cpp                                  |   4 +-
 src/VlcMain.cpp                                    |   2 +-
 src/VlcOptions.h                                   |   2 +-
 src/VlcPoint.h                                     |   4 +-
 src/astgen                                         |   3 +-
 src/config_build.h                                 |   2 +-
 src/config_rev.h                                   |   2 +-
 src/verilog.l                                      |   3 +
 src/verilog.y                                      |  91 ++-
 test_regress/Makefile                              |   6 +
 test_regress/Makefile_obj                          |   3 +-
 test_regress/driver.pl                             |  28 +-
 .../t/{t_mod_recurse.pl => t_alw_split_rst.pl}     |   7 +-
 test_regress/t/t_alw_split_rst.v                   | 158 ++++++
 test_regress/t/t_array_backw_index_bad.pl          |  21 +
 ...t_display_merge.v => t_array_backw_index_bad.v} |  15 +-
 .../t/{t_mod_recurse.pl => t_array_pattern_2d.pl}  |   2 -
 test_regress/t/t_array_pattern_2d.v                |  39 ++
 test_regress/t/t_array_query.v                     |   3 +
 test_regress/t/t_assert_casez.v                    |   3 +
 .../t/{t_mod_recurse.pl => t_assert_comp.pl}       |   6 +-
 .../t/{t_display_merge.v => t_assert_comp.v}       |  12 +-
 ..._include_all_oldest.pl => t_assert_comp_bad.pl} |  16 +-
 test_regress/t/t_assert_comp_bad.v                 |  13 +
 test_regress/t/t_case_huge.pl                      |   2 +-
 test_regress/t/t_clk_2in.cpp                       |   6 +-
 test_regress/t/t_display_merge.pl                  |  18 +-
 test_regress/t/t_display_merge.v                   |  32 +-
 test_regress/t/t_dist_whitespace.pl                |  17 +-
 ..._inst_recurse_bad.pl => t_inst_recurse2_bad.pl} |   8 +-
 test_regress/t/t_inst_recurse2_bad.v               |  19 +
 test_regress/t/t_inst_recurse_bad.pl               |   9 +-
 test_regress/t/t_inst_tree_inl0_pub1.pl            |   2 +-
 .../t/t_inst_tree_inl0_pub1_norelcfuncs.pl         |   2 +-
 .../t/{t_xml_first.pl => t_lint_bsspace_bad.pl}    |  14 +-
 test_regress/t/t_lint_bsspace_bad.v                |  13 +
 .../t/{t_xml_first.pl => t_lint_colonplus_bad.pl}  |  15 +-
 test_regress/t/t_lint_colonplus_bad.v              |  14 +
 .../{t_vpi_memory.pl => t_lint_modport_dir_bad.pl} |  19 +-
 test_regress/t/t_lint_modport_dir_bad.v            |  49 ++
 .../t/{t_mod_recurse.pl => t_lint_unused_iface.pl} |  14 +-
 test_regress/t/t_lint_unused_iface.v               |  59 ++
 .../{t_xml_first.pl => t_lint_unused_iface_bad.pl} |  17 +-
 test_regress/t/t_lint_unused_iface_bad.v           |  28 +
 test_regress/t/t_mem_multi_io2.cpp                 |   8 +-
 test_regress/t/t_mem_multi_io3.cpp                 |   8 +-
 test_regress/t/t_mem_slice_bad.pl                  |   9 +-
 .../{t_mod_recurse.pl => t_mem_slice_dtype_bad.pl} |  10 +-
 test_regress/t/t_mem_slice_dtype_bad.v             |  40 ++
 test_regress/t/t_mod_recurse.pl                    |   2 -
 test_regress/t/t_mod_recurse.v                     |  16 +-
 .../t/{t_mod_recurse.pl => t_mod_recurse1.pl}      |   2 -
 test_regress/t/t_mod_recurse1.v                    |  35 ++
 test_regress/t/t_param_default_bad.pl              |   2 +-
 test_regress/t/t_sys_sformat.v                     |   4 +-
 test_regress/t/t_sys_sformat_noopt.pl              |  11 +-
 .../t/{t_include_all.v => t_threads_counter.v}     |   7 -
 .../t/{t_mod_recurse.pl => t_threads_counter_0.pl} |   5 +-
 .../t/{t_mod_recurse.pl => t_threads_counter_1.pl} |   7 +-
 .../t/{t_mod_recurse.pl => t_threads_counter_2.pl} |   7 +-
 test_regress/t/t_trace_cat.cpp                     |  12 +-
 test_regress/t/t_trace_timescale.cpp               |   8 +-
 test_regress/t/t_tri_gate.cpp                      |  18 +-
 .../t/t_var_overwidth_bad.cpp                      |  48 +-
 .../t/{t_vpi_memory.pl => t_var_overwidth_bad.pl}  |  12 +-
 test_regress/t/t_var_overwidth_bad.v               |  19 +
 test_regress/t/t_var_pinsizes.cpp                  |  22 +-
 .../t/{t_include_all.pl => t_verilated_all.pl}     |   2 +-
 .../t/{t_include_all.v => t_verilated_all.v}       |   0
 ...ude_all_newest.pl => t_verilated_all_newest.pl} |   3 +-
 ...ude_all_oldest.pl => t_verilated_all_oldest.pl} |   2 +-
 test_regress/t/t_verilated_debug.out               |  18 +
 .../t/{t_mod_recurse.pl => t_verilated_debug.pl}   |   5 +-
 .../t/{t_display_merge.v => t_verilated_debug.v}   |  16 +-
 ...clude_all_oldest.pl => t_verilated_threaded.pl} |   7 +-
 test_regress/t/t_vpi_get.pl                        |   3 +
 test_regress/t/t_vpi_memory.pl                     |   3 +
 test_regress/t/t_vpi_var.cpp                       |   2 +-
 test_regress/t/t_xml_first.out                     |  70 +++
 test_regress/t/t_xml_first.pl                      |   3 +-
 test_regress/t/t_xml_tag.out                       |  39 +-
 test_regress/t/t_xml_tag.v                         |   4 +-
 verilator.html                                     |  76 ++-
 verilator.pdf                                      | Bin 331494 -> 333843 bytes
 verilator.txt                                      | 236 ++++----
 174 files changed, 4356 insertions(+), 2234 deletions(-)

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/pkg-electronics/verilator.git



More information about the Pkg-electronics-commits mailing list