[Pkg-electronics-commits] [verilator] branch master updated (846749e -> df06a45)

أحمد المحمودي (Ahmed El-Mahmoudy) aelmahmoudy at users.sourceforge.net
Mon Oct 16 01:22:42 UTC 2017


This is an automated email from the git hooks/post-receive script.

aelmahmoudy-guest pushed a change to branch master
in repository verilator.

      from  846749e   Prepare Debian release 3.906-1
       new  9e467ab   Imported Upstream version 3.914
       new  d0c2e18   Merge tag 'upstream/3.914'
       new  c617d43   Update standards version to 4.1.1
       new  df06a45   Update copyright years

The 4 revisions listed above as "new" are entirely new to this
repository and will be described in separate emails.  The revisions
listed as "adds" were already present in the repository and have only
been added to this reference.


Summary of changes:
 .gitignore                                         |    4 +
 Changes                                            |   93 +
 MANIFEST.SKIP                                      |    3 +
 Makefile.in                                        |   98 +-
 README                                             |   11 +-
 README.html                                        |   10 +-
 README.pdf                                         |  Bin 90329 -> 89870 bytes
 README.pod                                         |   13 +-
 TODO                                               |    2 +-
 bin/verilator                                      |  170 +-
 bin/verilator_includer                             |    4 +-
 configure                                          | 2102 +++++++++++++++++---
 configure.ac                                       |  131 +-
 debian/control                                     |    2 +-
 debian/copyright                                   |    2 +-
 doxygen.config                                     |    3 +-
 {test_c => examples/hello_world_c}/.gitignore      |    2 +-
 examples/hello_world_c/Makefile                    |   52 +
 examples/hello_world_c/sim_main.cpp                |   41 +
 examples/hello_world_c/top.v                       |   12 +
 {test_sc => examples/hello_world_sc}/.gitignore    |    2 -
 examples/hello_world_sc/Makefile                   |   67 +
 examples/hello_world_sc/sc_main.cpp                |   51 +
 examples/hello_world_sc/top.v                      |   12 +
 {test_c => examples/tracing_c}/.gitignore          |    2 +-
 examples/tracing_c/Makefile                        |   96 +
 examples/tracing_c/Makefile_obj                    |   55 +
 examples/tracing_c/input.vc                        |    2 +
 examples/tracing_c/sim_main.cpp                    |  114 ++
 examples/tracing_c/sub.v                           |   58 +
 examples/tracing_c/top.v                           |   42 +
 {test_c => examples/tracing_sc}/.gitignore         |    2 +-
 examples/tracing_sc/Makefile                       |  109 +
 examples/tracing_sc/Makefile_obj                   |   63 +
 examples/tracing_sc/input.vc                       |    2 +
 examples/tracing_sc/sc_main.cpp                    |  136 ++
 examples/tracing_sc/sub.v                          |   58 +
 examples/tracing_sc/top.v                          |   42 +
 include/verilated.cpp                              |  256 ++-
 include/verilated.h                                |  207 +-
 include/verilated.mk.in                            |   32 +-
 include/verilated_config.h                         |    2 +-
 include/verilated_cov.cpp                          |   93 +-
 include/verilated_cov.h                            |    5 +-
 include/verilated_cov_key.h                        |    3 +-
 include/verilated_dpi.cpp                          |   28 +-
 include/verilated_dpi.h                            |    6 +-
 include/verilated_heavy.h                          |   44 +-
 include/verilated_imp.h                            |   44 +-
 include/verilated_save.cpp                         |   22 +-
 include/verilated_save.h                           |   92 +-
 include/verilated_sc.h                             |   10 +-
 include/verilated_syms.h                           |    9 +-
 include/verilated_vcd_c.cpp                        |  103 +-
 include/verilated_vcd_c.h                          |   80 +-
 include/verilated_vpi.cpp                          |  109 +-
 include/verilated_vpi.h                            |   85 +-
 include/verilatedos.h                              |   29 +-
 include/vltstd/vpi_user.h                          |    2 +
 install-sh                                         |   14 +-
 internals.html                                     |   12 +-
 internals.pdf                                      |  Bin 163306 -> 162997 bytes
 internals.pod                                      |   18 +-
 internals.txt                                      |   16 +-
 src/Makefile.in                                    |   14 +-
 src/Makefile_obj.in                                |   14 +-
 src/V3Active.cpp                                   |    6 +-
 src/V3ActiveTop.cpp                                |    2 +-
 src/V3Assert.cpp                                   |   14 +-
 src/V3AssertPre.cpp                                |    2 +-
 src/V3Ast.cpp                                      |   10 +-
 src/V3Ast.h                                        |   60 +-
 src/V3AstNodes.cpp                                 |   10 +-
 src/V3AstNodes.h                                   |   93 +-
 src/V3Begin.cpp                                    |    2 +-
 src/V3Broken.cpp                                   |   19 +-
 src/V3CCtors.cpp                                   |   42 +-
 src/V3Case.cpp                                     |    4 +-
 src/V3Cast.cpp                                     |    2 +-
 src/V3Cdc.cpp                                      |    4 +-
 src/V3Changed.cpp                                  |    8 +-
 src/V3Clean.cpp                                    |    2 +-
 src/V3ClkGater.cpp                                 |    7 +-
 src/V3Clock.cpp                                    |    2 +-
 src/V3Combine.cpp                                  |    2 +-
 src/V3Config.cpp                                   |    2 +-
 src/V3Const.cpp                                    |  198 +-
 src/V3Coverage.cpp                                 |    3 +-
 src/V3CoverageJoin.cpp                             |    2 +-
 src/V3Dead.cpp                                     |   14 +-
 src/V3Delayed.cpp                                  |   14 +-
 src/V3Depth.cpp                                    |    2 +-
 src/V3DepthBlock.cpp                               |    2 +-
 src/V3Descope.cpp                                  |  144 +-
 src/V3EmitC.cpp                                    |  245 ++-
 src/V3EmitCBase.h                                  |    1 -
 src/V3EmitCInlines.cpp                             |   42 +-
 src/V3EmitCSyms.cpp                                |   70 +-
 src/V3EmitMk.cpp                                   |   27 +-
 src/V3EmitXml.cpp                                  |    1 +
 src/V3Error.cpp                                    |    2 +-
 src/V3Error.h                                      |   20 +-
 src/V3Expand.cpp                                   |    4 +-
 src/V3File.cpp                                     |   92 +-
 src/V3File.h                                       |   16 -
 src/V3FileLine.h                                   |    5 +
 src/V3Gate.cpp                                     |   17 +-
 src/V3GenClk.cpp                                   |    2 +-
 src/V3Global.h                                     |    2 +-
 src/V3Graph.cpp                                    |    4 +-
 src/V3GraphDfa.cpp                                 |    8 +-
 src/V3GraphDfa.h                                   |    2 +-
 src/V3GraphTest.cpp                                |    8 +-
 src/V3Inline.cpp                                   |  130 +-
 src/V3Inst.cpp                                     |   39 +-
 src/V3LangCode.h                                   |    2 +-
 src/V3LanguageWords.h                              |  147 +-
 src/V3Life.cpp                                     |    2 +-
 src/V3LifePost.cpp                                 |    2 +-
 src/V3LinkDot.cpp                                  |   30 +-
 src/V3LinkDot.h                                    |    8 +-
 src/V3LinkJump.cpp                                 |    2 +-
 src/V3LinkLValue.cpp                               |    2 +-
 src/V3LinkLevel.cpp                                |    2 +-
 src/V3LinkParse.cpp                                |    6 +-
 src/V3LinkResolve.cpp                              |    2 +-
 src/V3Localize.cpp                                 |    6 +-
 src/V3Name.cpp                                     |    2 +-
 src/V3Number.cpp                                   |   10 +-
 src/V3Number.h                                     |    2 +-
 src/V3Number_test.cpp                              |    2 +-
 src/V3Options.cpp                                  |  103 +-
 src/V3Options.h                                    |   21 +-
 src/V3Order.cpp                                    |   55 +-
 src/V3OrderGraph.h                                 |    2 +-
 src/V3Os.cpp                                       |   38 +-
 src/V3Os.h                                         |    4 +
 src/V3Param.cpp                                    |    2 +-
 src/V3ParseImp.cpp                                 |    4 +-
 src/V3ParseImp.h                                   |   23 +-
 src/V3ParseSym.h                                   |   15 +-
 src/V3PreLex.h                                     |    1 +
 src/V3PreLex.l                                     |    4 +
 src/V3PreProc.cpp                                  |  130 +-
 src/V3PreProc.h                                    |    4 +-
 src/V3Premit.cpp                                   |   32 +-
 src/V3Scope.cpp                                    |    6 +-
 src/V3Slice.cpp                                    |  465 +----
 src/V3Split.cpp                                    |    7 +-
 src/V3SplitAs.cpp                                  |    2 +-
 src/V3Stats.cpp                                    |   14 +-
 src/V3Stats.h                                      |   10 +-
 src/V3StatsReport.cpp                              |   42 +-
 src/V3Subst.cpp                                    |    2 +-
 src/V3SymTable.h                                   |   51 +-
 src/V3Table.cpp                                    |    2 +-
 src/V3Task.cpp                                     |   28 +-
 src/V3Trace.cpp                                    |    2 +-
 src/V3TraceDecl.cpp                                |    2 +-
 src/V3Tristate.cpp                                 |    5 +-
 src/V3Unknown.cpp                                  |    2 +-
 src/V3Unroll.cpp                                   |    2 +-
 src/V3Width.cpp                                    |   60 +-
 src/V3WidthSel.cpp                                 |    4 +-
 src/Verilator.cpp                                  |   11 +-
 src/VlcBucket.h                                    |    5 +-
 src/VlcMain.cpp                                    |   12 +-
 src/VlcOptions.h                                   |    2 +-
 src/VlcTest.h                                      |    2 +-
 src/VlcTop.cpp                                     |    2 +-
 src/astgen                                         |    2 +-
 src/config_build.h                                 |   17 +-
 src/config_build.h.in                              |   15 +-
 src/config_rev.h                                   |    2 +-
 src/config_rev.pl                                  |    2 +-
 src/cppcheck_filtered                              |   31 +-
 src/verilog.l                                      |   43 +-
 src/verilog.y                                      |   50 +-
 test_c/Makefile                                    |   66 -
 test_c/Makefile_obj                                |   33 -
 test_c/sim_main.cpp                                |   84 -
 test_regress/Makefile_obj                          |   14 +-
 test_regress/driver.pl                             |   97 +-
 test_regress/t/{t_savable.pl => t_a_first_cc.pl}   |   19 +-
 .../t/{t_var_const_bad.v => t_a_first_cc.v}        |    7 +-
 test_regress/t/{t_savable.pl => t_a_first_sc.pl}   |   19 +-
 test_regress/t/t_array_compare.v                   |    6 +-
 test_regress/t/t_array_packed_sysfunct.v           |    4 +-
 test_regress/t/t_array_query.v                     |    6 +-
 .../t/{t_EXAMPLE.pl => t_array_type_methods.pl}    |    0
 test_regress/t/t_array_type_methods.v              |   28 +
 ...{t_initarray_nonarray.pl => t_arraysel_wide.pl} |    0
 test_regress/t/t_arraysel_wide.v                   |   29 +
 test_regress/t/t_assert_cover.pl                   |    8 +-
 test_regress/t/t_assert_cover.v                    |   10 +-
 test_regress/t/t_assign_inline.v                   |   12 +-
 test_regress/t/t_bench_mux4k.pl                    |    7 +-
 test_regress/t/t_bench_mux4k.v                     |    8 +-
 test_regress/t/t_bench_synmul.pl                   |    8 +-
 test_regress/t/t_case_dupitems.v                   |    2 +-
 test_regress/t/t_case_huge_prof.pl                 |    8 +-
 test_regress/t/t_case_huge_sub3.v                  |    2 +-
 test_regress/t/t_case_reducer.v                    |   10 +-
 test_regress/t/t_cellarray.v                       |    4 +-
 test_regress/t/t_clk_2in.pl                        |    2 +-
 test_regress/t/t_clk_concat6.v                     |    2 +-
 test_regress/t/t_clocker.v                         |    4 +-
 test_regress/t/t_concat_opt.v                      |    2 +-
 test_regress/t/t_const_overflow_bad.v              |    2 +-
 test_regress/t/t_cover_line_cc.pl                  |   16 +-
 test_regress/t/t_cover_sva_notflat.v               |    2 +-
 test_regress/t/t_display.pl                        |    4 +-
 test_regress/t/t_display.v                         |    4 +-
 .../t/{t_case_huge.pl => t_display_merge.pl}       |   15 +-
 .../t/{t_flag_language.v => t_display_merge.v}     |   11 +-
 test_regress/t/t_display_noopt.pl                  |    4 +-
 test_regress/t/t_dist_cinclude.pl                  |    2 +-
 test_regress/t/t_dist_install.pl                   |   12 +-
 test_regress/t/t_dist_manifest.pl                  |   26 +-
 test_regress/t/t_dist_tabs.pl                      |   70 +
 test_regress/t/t_dist_untracked.pl                 |   43 +-
 test_regress/t/t_dist_whitespace.pl                |   62 +
 test_regress/t/t_dpi_context.v                     |    2 +-
 test_regress/t/t_dpi_display.pl                    |   20 +-
 test_regress/t/t_dpi_display.v                     |    8 +-
 test_regress/t/t_dpi_display_c.cpp                 |    2 +-
 test_regress/t/t_dpi_imp_gen.v                     |    2 +-
 test_regress/t/t_dpi_import.v                      |   15 +
 test_regress/t/t_dpi_import_c.cpp                  |   45 +-
 test_regress/t/t_dpi_logic_bad.v                   |    4 +-
 test_regress/t/t_dpi_shortcircuit2.v               |    2 +-
 test_regress/t/t_dpi_vams.v                        |    6 +-
 test_regress/t/t_dpi_var.cpp                       |    6 +-
 test_regress/t/t_embed1.pl                         |   28 +-
 test_regress/t/t_embed1_c.cpp                      |    2 +-
 test_regress/t/t_embed1_child.v                    |    2 +-
 test_regress/t/t_embed1_wrap.v                     |    4 +-
 test_regress/t/t_emit_constw.v                     |  142 +-
 test_regress/t/t_enum.v                            |    2 +
 test_regress/t/t_enum_large_methods.v              |    6 +-
 test_regress/t/t_enum_public.cpp                   |    4 +-
 test_regress/t/t_enum_type_methods.v               |   50 +-
 .../t/{t_mod_dup_ign.pl => t_flag_getenv.pl}       |    9 +-
 .../t/{t_flag_nomod_bad.v => t_flag_getenv.v}      |    0
 test_regress/t/t_flag_ldflags.pl                   |   18 +-
 .../{t_enum_type_pins.pl => t_flag_xinitial_0.pl}  |    6 +-
 .../t/{t_param_local.v => t_flag_xinitial_0.v}     |   23 +-
 ...enum_type_pins.pl => t_flag_xinitial_unique.pl} |    6 +-
 .../t/{t_flag_stats.v => t_flag_xinitial_unique.v} |   12 +-
 test_regress/t/t_for_init_bug.v                    |    2 +-
 test_regress/t/t_func_begin2.v                     |    2 +-
 test_regress/t/t_func_const_bad.v                  |    2 +-
 .../t/{t_EXAMPLE.pl => t_func_defaults.pl}         |    0
 .../t/{t_func_default_warn.v => t_func_defaults.v} |   19 +-
 test_regress/t/t_func_noinl.v                      |    4 +-
 test_regress/t/t_func_wide_out_bad.v               |    4 +-
 test_regress/t/t_gate_unsup.v                      |    2 +-
 test_regress/t/t_gen_inc.v                         |    2 +-
 test_regress/t/t_gen_missing.v                     |    4 +-
 test_regress/t/t_help.pl                           |   12 +-
 test_regress/t/t_include_all.pl                    |   44 +
 test_regress/t/t_include_all.v                     |   31 +
 ...race_public_func.pl => t_include_all_newest.pl} |   13 +-
 ...race_public_func.pl => t_include_all_oldest.pl} |   13 +-
 test_regress/t/t_inst_array_partial.v              |    4 +-
 test_regress/t/t_inst_dtree.v                      |    2 +-
 test_regress/t/t_inst_misarray_bad.pl              |    7 +-
 test_regress/t/t_inst_signed.v                     |    2 +-
 test_regress/t/t_inst_slice.v                      |    2 +-
 test_regress/t/t_inst_tree_inl0_pub1.pl            |   36 +-
 ...ub1.pl => t_inst_tree_inl0_pub1_norelcfuncs.pl} |   15 +-
 test_regress/t/t_interface_array_modport.v         |    9 +-
 .../{t_EXAMPLE.pl => t_interface_array_nocolon.pl} |    0
 test_regress/t/t_interface_array_nocolon.v         |   69 +
 test_regress/t/t_interface_array_nocolon_bad.pl    |   23 +
 test_regress/t/t_interface_array_nocolon_bad.v     |   32 +
 test_regress/t/t_interface_star.v                  |    2 +
 test_regress/t/t_lint_blksync_bad.v                |    8 +-
 test_regress/t/t_lint_blksync_loop.v               |    2 +-
 test_regress/t/t_lint_inherit.v                    |    4 +-
 test_regress/t/t_lint_multidriven_bad.pl           |    4 +-
 test_regress/t/t_lint_multidriven_bad.v            |    2 +-
 ...int_input_eq_bad.pl => t_lint_pkg_colon_bad.pl} |    8 +-
 ...{t_lint_incabspath.v => t_lint_pkg_colon_bad.v} |    7 +-
 ...t_block_redecl_bad.pl => t_lint_unsized_bad.pl} |    8 +-
 .../{t_preproc_inc_bad.v => t_lint_unsized_bad.v}  |    7 +-
 test_regress/t/t_lint_unsup_deassign.v             |    2 +-
 test_regress/t/t_lint_unsup_mixed.v                |    4 +-
 test_regress/t/t_lint_unused_bad.v                 |    2 +-
 test_regress/t/t_math_msvc_64.v                    |   26 +-
 test_regress/t/t_math_pow5.v                       |    2 +-
 test_regress/t/{t_EXAMPLE.pl => t_math_pow6.pl}    |    0
 test_regress/t/t_math_pow6.v                       |   46 +
 test_regress/t/t_math_real.v                       |    4 +-
 test_regress/t/t_math_repl.v                       |   22 +-
 .../t/{t_EXAMPLE.pl => t_math_shift_sel.pl}        |    0
 .../t/{t_case_onehot.v => t_math_shift_sel.v}      |   48 +-
 test_regress/t/t_math_sign_extend.v                |   78 +-
 test_regress/t/t_mem_multi_io3.v                   |    8 +-
 test_regress/t/t_mem_packed_bad.pl                 |    4 +-
 test_regress/t/t_mem_slice_bad.pl                  |    8 +-
 test_regress/t/t_mem_slice_conc_bad.v              |    2 +-
 test_regress/t/t_mem_twoedge.v                     |    2 +-
 test_regress/t/t_mod_recurse.v                     |    8 +-
 .../t/{t_inst_aport.pl => t_optm_if_array.pl}      |    4 +-
 test_regress/t/t_optm_if_array.v                   |   51 +
 ...t_assert_question.pl => t_order_clkinst_bad.pl} |   12 +-
 test_regress/t/t_order_multidriven.v               |    2 +-
 test_regress/t/t_order_quad.v                      |    2 +-
 test_regress/t/t_package.v                         |    2 +-
 test_regress/t/t_package_ddecl.v                   |    2 -
 test_regress/t/t_package_export.pl                 |    1 -
 test_regress/t/t_package_export.v                  |   54 +-
 test_regress/t/t_package_export_bad.pl             |    7 +-
 test_regress/t/t_package_verb.v                    |    2 +-
 .../t/{t_attr_parenstar.pl => t_param_default.pl}  |    4 +-
 ...t_sys_readmem_bad_digit.v => t_param_default.v} |   14 +-
 ...ebug_fatalsrc_bad.pl => t_param_default_bad.pl} |   13 +-
 .../t/{t_flag_lib.v => t_param_default_bad.v}      |    7 +-
 test_regress/t/t_param_mem_attr.v                  |    2 +-
 test_regress/t/t_param_public.cpp                  |    2 +-
 test_regress/t/t_param_value.v                     |   10 +-
 test_regress/t/t_param_wide_io.v                   |    4 +-
 test_regress/t/t_pp_dupdef_bad.pl                  |    4 +-
 test_regress/t/t_preproc.out                       |   99 +-
 test_regress/t/t_preproc.v                         |   81 +
 test_regress/t/t_preproc_persist.out               |    3 +
 .../t/{t_preproc_def09.pl => t_preproc_persist.pl} |   12 +-
 ..._preproc_inc_inc_bad.vh => t_preproc_persist.v} |    8 +-
 ...preproc_inc_inc_bad.vh => t_preproc_persist2.v} |    8 +-
 .../t/{t_flag_lib.v => t_preproc_persist_inc.v}    |   10 +-
 test_regress/t/t_preproc_ttempty.out               |    1 +
 .../t/{t_preproc_def09.pl => t_preproc_ttempty.pl} |   11 +-
 .../{t_lint_literal_bad.v => t_preproc_ttempty.v}  |    9 +-
 test_regress/t/t_savable.v                         |    2 +-
 test_regress/t/t_select_index2.v                   |    4 +-
 test_regress/t/t_select_lhs_oob.v                  |    2 +-
 .../t/{t_initarray_nonarray.pl => t_slice_cond.pl} |    0
 test_regress/t/t_slice_cond.v                      |   38 +
 test_regress/t/{t_EXAMPLE.pl => t_slice_init.pl}   |    0
 test_regress/t/t_slice_init.v                      |   59 +
 test_regress/t/t_stream.v                          |    2 +-
 test_regress/t/t_struct_pat_width.v                |    2 +-
 test_regress/t/t_struct_port.v                     |    6 +-
 test_regress/t/t_sv_conditional.v                  |   58 +-
 test_regress/t/t_trace_timescale.cpp               |    2 +
 test_regress/t/t_tri_gen.v                         |    4 +-
 test_regress/t/t_tri_ifbegin.v                     |    2 +-
 test_regress/t/{t_tri_graph.pl => t_tri_public.pl} |    0
 test_regress/t/t_tri_public.v                      |   78 +
 test_regress/t/t_tri_pull2_bad.v                   |    4 +-
 test_regress/t/t_tri_pull_bad.v                    |    2 +-
 test_regress/t/t_typedef_signed.v                  |    2 +-
 test_regress/t/t_unopt_bound.v                     |    2 +-
 test_regress/t/t_var_assign_landr.v                |    2 +-
 test_regress/t/t_var_in_assign.v                   |    2 +-
 test_regress/t/{t_EXAMPLE.pl => t_var_overcmp.pl}  |    0
 test_regress/t/t_var_overcmp.v                     |  145 ++
 .../t/{t_array_compare.pl => t_var_overzero.pl}    |    1 +
 test_regress/t/t_var_overzero.v                    |  172 ++
 test_regress/t/t_var_pinsizes.v                    |    1 -
 test_regress/t/t_var_rsvd_bad.pl                   |    4 +-
 test_regress/t/t_var_static.v                      |    4 +-
 test_regress/t/t_var_tieout.v                      |    2 +-
 test_regress/t/{t_case_huge.pl => t_vgen.pl}       |   15 +-
 test_regress/t/t_vlcov_merge.pl                    |   14 +-
 test_regress/t/t_vlcov_rank.pl                     |   18 +-
 test_regress/t/t_vlcov_rewrite.pl                  |   12 +-
 test_regress/t/t_vpi_get.cpp                       |    2 +-
 test_regress/t/t_vpi_memory.cpp                    |    4 +-
 test_regress/t/t_vpi_unimpl.cpp                    |    8 +-
 test_regress/t/t_vpi_var.cpp                       |    2 +-
 test_regress/t/t_vpi_var.v                         |    2 +-
 test_regress/t/t_xml_tag.out                       |   34 +
 test_regress/t/{t_xml_first.pl => t_xml_tag.pl}    |    4 +-
 test_regress/t/t_xml_tag.v                         |   25 +
 {test_verilated => test_regress}/vgen.pl           |  182 +-
 test_sc/Makefile                                   |   68 -
 test_sc/Makefile_obj                               |   34 -
 test_sc/sc_main.cpp                                |  152 --
 test_v/input.vc                                    |    5 -
 test_v/t.v                                         |   45 -
 test_v/top.v                                       |   44 -
 test_verilated/.gitignore                          |    8 -
 test_verilated/Makefile                            |  123 --
 test_verilated/Makefile_obj                        |   31 -
 test_verilated/sim_main.cpp                        |   55 -
 test_verilated/sim_main.v                          |   42 -
 test_verilated/vgen.v                              |  258 ---
 verilator.html                                     |  108 +-
 verilator.pdf                                      |  Bin 328669 -> 331494 bytes
 verilator.txt                                      |  187 +-
 392 files changed, 8024 insertions(+), 4367 deletions(-)
 copy {test_c => examples/hello_world_c}/.gitignore (79%)
 create mode 100644 examples/hello_world_c/Makefile
 create mode 100644 examples/hello_world_c/sim_main.cpp
 create mode 100644 examples/hello_world_c/top.v
 rename {test_sc => examples/hello_world_sc}/.gitignore (72%)
 create mode 100644 examples/hello_world_sc/Makefile
 create mode 100644 examples/hello_world_sc/sc_main.cpp
 create mode 100644 examples/hello_world_sc/top.v
 copy {test_c => examples/tracing_c}/.gitignore (79%)
 create mode 100644 examples/tracing_c/Makefile
 create mode 100644 examples/tracing_c/Makefile_obj
 create mode 100644 examples/tracing_c/input.vc
 create mode 100644 examples/tracing_c/sim_main.cpp
 create mode 100644 examples/tracing_c/sub.v
 create mode 100644 examples/tracing_c/top.v
 rename {test_c => examples/tracing_sc}/.gitignore (79%)
 create mode 100644 examples/tracing_sc/Makefile
 create mode 100644 examples/tracing_sc/Makefile_obj
 create mode 100644 examples/tracing_sc/input.vc
 create mode 100644 examples/tracing_sc/sc_main.cpp
 create mode 100644 examples/tracing_sc/sub.v
 create mode 100644 examples/tracing_sc/top.v
 delete mode 100644 test_c/Makefile
 delete mode 100644 test_c/Makefile_obj
 delete mode 100644 test_c/sim_main.cpp
 copy test_regress/t/{t_savable.pl => t_a_first_cc.pl} (57%)
 copy test_regress/t/{t_var_const_bad.v => t_a_first_cc.v} (68%)
 copy test_regress/t/{t_savable.pl => t_a_first_sc.pl} (60%)
 copy test_regress/t/{t_EXAMPLE.pl => t_array_type_methods.pl} (100%)
 create mode 100644 test_regress/t/t_array_type_methods.v
 copy test_regress/t/{t_initarray_nonarray.pl => t_arraysel_wide.pl} (100%)
 create mode 100644 test_regress/t/t_arraysel_wide.v
 copy test_regress/t/{t_case_huge.pl => t_display_merge.pl} (66%)
 copy test_regress/t/{t_flag_language.v => t_display_merge.v} (61%)
 create mode 100755 test_regress/t/t_dist_tabs.pl
 create mode 100755 test_regress/t/t_dist_whitespace.pl
 copy test_regress/t/{t_mod_dup_ign.pl => t_flag_getenv.pl} (77%)
 copy test_regress/t/{t_flag_nomod_bad.v => t_flag_getenv.v} (100%)
 copy test_regress/t/{t_enum_type_pins.pl => t_flag_xinitial_0.pl} (79%)
 copy test_regress/t/{t_param_local.v => t_flag_xinitial_0.v} (55%)
 copy test_regress/t/{t_enum_type_pins.pl => t_flag_xinitial_unique.pl} (79%)
 copy test_regress/t/{t_flag_stats.v => t_flag_xinitial_unique.v} (62%)
 copy test_regress/t/{t_EXAMPLE.pl => t_func_defaults.pl} (100%)
 copy test_regress/t/{t_func_default_warn.v => t_func_defaults.v} (60%)
 create mode 100755 test_regress/t/t_include_all.pl
 create mode 100644 test_regress/t/t_include_all.v
 copy test_regress/t/{t_trace_public_func.pl => t_include_all_newest.pl} (58%)
 copy test_regress/t/{t_trace_public_func.pl => t_include_all_oldest.pl} (61%)
 copy test_regress/t/{t_inst_tree_inl0_pub1.pl => t_inst_tree_inl0_pub1_norelcfuncs.pl} (58%)
 copy test_regress/t/{t_EXAMPLE.pl => t_interface_array_nocolon.pl} (100%)
 create mode 100644 test_regress/t/t_interface_array_nocolon.v
 create mode 100755 test_regress/t/t_interface_array_nocolon_bad.pl
 create mode 100644 test_regress/t/t_interface_array_nocolon_bad.v
 copy test_regress/t/{t_lint_input_eq_bad.pl => t_lint_pkg_colon_bad.pl} (63%)
 copy test_regress/t/{t_lint_incabspath.v => t_lint_pkg_colon_bad.v} (55%)
 copy test_regress/t/{t_lint_block_redecl_bad.pl => t_lint_unsized_bad.pl} (69%)
 copy test_regress/t/{t_preproc_inc_bad.v => t_lint_unsized_bad.v} (58%)
 copy test_regress/t/{t_EXAMPLE.pl => t_math_pow6.pl} (100%)
 create mode 100644 test_regress/t/t_math_pow6.v
 copy test_regress/t/{t_EXAMPLE.pl => t_math_shift_sel.pl} (100%)
 copy test_regress/t/{t_case_onehot.v => t_math_shift_sel.v} (67%)
 copy test_regress/t/{t_inst_aport.pl => t_optm_if_array.pl} (86%)
 create mode 100644 test_regress/t/t_optm_if_array.v
 copy test_regress/t/{t_assert_question.pl => t_order_clkinst_bad.pl} (72%)
 copy test_regress/t/{t_attr_parenstar.pl => t_param_default.pl} (93%)
 mode change 100755 => 100644
 copy test_regress/t/{t_sys_readmem_bad_digit.v => t_param_default.v} (54%)
 copy test_regress/t/{t_debug_fatalsrc_bad.pl => t_param_default_bad.pl} (71%)
 mode change 100755 => 100644
 copy test_regress/t/{t_flag_lib.v => t_param_default_bad.v} (60%)
 create mode 100644 test_regress/t/t_preproc_persist.out
 copy test_regress/t/{t_preproc_def09.pl => t_preproc_persist.pl} (64%)
 copy test_regress/t/{t_preproc_inc_inc_bad.vh => t_preproc_persist.v} (54%)
 copy test_regress/t/{t_preproc_inc_inc_bad.vh => t_preproc_persist2.v} (54%)
 copy test_regress/t/{t_flag_lib.v => t_preproc_persist_inc.v} (50%)
 create mode 100644 test_regress/t/t_preproc_ttempty.out
 copy test_regress/t/{t_preproc_def09.pl => t_preproc_ttempty.pl} (68%)
 copy test_regress/t/{t_lint_literal_bad.v => t_preproc_ttempty.v} (51%)
 copy test_regress/t/{t_initarray_nonarray.pl => t_slice_cond.pl} (100%)
 create mode 100644 test_regress/t/t_slice_cond.v
 copy test_regress/t/{t_EXAMPLE.pl => t_slice_init.pl} (100%)
 create mode 100644 test_regress/t/t_slice_init.v
 copy test_regress/t/{t_tri_graph.pl => t_tri_public.pl} (100%)
 create mode 100644 test_regress/t/t_tri_public.v
 copy test_regress/t/{t_EXAMPLE.pl => t_var_overcmp.pl} (100%)
 create mode 100644 test_regress/t/t_var_overcmp.v
 copy test_regress/t/{t_array_compare.pl => t_var_overzero.pl} (91%)
 create mode 100644 test_regress/t/t_var_overzero.v
 copy test_regress/t/{t_case_huge.pl => t_vgen.pl} (65%)
 create mode 100644 test_regress/t/t_xml_tag.out
 copy test_regress/t/{t_xml_first.pl => t_xml_tag.pl} (90%)
 create mode 100644 test_regress/t/t_xml_tag.v
 rename {test_verilated => test_regress}/vgen.pl (89%)
 delete mode 100644 test_sc/Makefile
 delete mode 100644 test_sc/Makefile_obj
 delete mode 100644 test_sc/sc_main.cpp
 delete mode 100644 test_v/input.vc
 delete mode 100644 test_v/t.v
 delete mode 100644 test_v/top.v
 delete mode 100644 test_verilated/.gitignore
 delete mode 100644 test_verilated/Makefile
 delete mode 100644 test_verilated/Makefile_obj
 delete mode 100644 test_verilated/sim_main.cpp
 delete mode 100644 test_verilated/sim_main.v
 delete mode 100644 test_verilated/vgen.v

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/pkg-electronics/verilator.git



More information about the Pkg-electronics-commits mailing list