[Pkg-electronics-commits] [verilator] 02/02: Add fix_spelling.diff patch to fix spelling errors

أحمد المحمودي (Ahmed El-Mahmoudy) aelmahmoudy at users.sourceforge.net
Tue Oct 17 02:20:24 UTC 2017


This is an automated email from the git hooks/post-receive script.

aelmahmoudy-guest pushed a commit to branch master
in repository verilator.

commit 551006db9553723a157e0e3cc55fc573de423a47
Author: أحمد المحمودي (Ahmed El-Mahmoudy) <aelmahmoudy at users.sourceforge.net>
Date:   Mon Oct 16 04:14:25 2017 +0200

    Add fix_spelling.diff patch to fix spelling errors
---
 debian/patches/fix_spelling.diff | 43 ++++++++++++++++++++++++++++++++++++++++
 debian/patches/series            |  1 +
 2 files changed, 44 insertions(+)

diff --git a/debian/patches/fix_spelling.diff b/debian/patches/fix_spelling.diff
new file mode 100644
index 0000000..fc08646
--- /dev/null
+++ b/debian/patches/fix_spelling.diff
@@ -0,0 +1,43 @@
+Description: fix apelling errors
+Author: أحمد المحمودي (Ahmed El-Mahmoudy) <aelmahmoudy at users.sourceforge.net>
+Bug-Upstream: https://www.veripool.org/issues/1233-Verilator-Fix-spelling-errors
+Forwarded: https://www.veripool.org/attachments/download/914/fix_spelling.diff
+Index: verilator/bin/verilator
+===================================================================
+--- verilator.orig/bin/verilator	2017-10-16 03:19:20.902186317 +0200
++++ verilator/bin/verilator	2017-10-16 03:57:40.351392796 +0200
+@@ -2347,7 +2347,7 @@
+ 
+ Switch back to processing Verilog code after a `systemc_... mode switch.
+ The Verilog code returns to the last language mode specified with
+-`begin_keywords, or SystemVerilog if none were specified.
++`begin_keywords, or SystemVerilog if none was specified.
+ 
+ =item /*verilator clock_enable*/
+ 
+Index: verilator/src/V3EmitC.cpp
+===================================================================
+--- verilator.orig/src/V3EmitC.cpp	2017-10-15 19:32:29.374034449 +0200
++++ verilator/src/V3EmitC.cpp	2017-10-16 04:00:17.480021903 +0200
+@@ -1579,7 +1579,7 @@
+ 
+ void EmitCImp::emitSavableImp(AstNodeModule* modp) {
+     if (v3Global.opt.savable() ) {
+-	puts("\n// Savable\n");
++	puts("\n// Saveable\n");
+ 	for (int de=0; de<2; ++de) {
+ 	    string classname = de ? "VerilatedDeserialize" : "VerilatedSerialize";
+ 	    string funcname = de ? "__Vdeserialize" : "__Vserialize";
+Index: verilator/src/V3StatsReport.cpp
+===================================================================
+--- verilator.orig/src/V3StatsReport.cpp	2017-10-15 19:32:29.382034480 +0200
++++ verilator/src/V3StatsReport.cpp	2017-10-16 04:03:57.092901183 +0200
+@@ -102,7 +102,7 @@
+ 	os<<endl;
+ 
+ 	// Print organized by stage
+-	os<<"Peformance Statistics:\n";
++	os<<"Performance Statistics:\n";
+ 	os<<endl;
+ 	for (ByName::iterator it = byName.begin(); it!=byName.end(); ++it) {
+ 	    const V3Statistic* repp = it->second;
diff --git a/debian/patches/series b/debian/patches/series
index d0834db..1a264be 100644
--- a/debian/patches/series
+++ b/debian/patches/series
@@ -1,3 +1,4 @@
 shebang.diff
 pkgconfig.diff
 fix_smoke.diff
+fix_spelling.diff

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/pkg-electronics/verilator.git



More information about the Pkg-electronics-commits mailing list