[Pkg-electronics-devel] Bug#696548: RFA: ghdl -- VHDL compiler/simulator using GCC technology

Wesley J. Landaker wjl at icecavern.net
Sat Dec 22 17:37:57 UTC 2012


Package: wnpp
Severity: normal

Due to time constraints, focus on other projects, and reduced upstream
activity, my hand is being forced, and I'm not able to keep this package
in Debian, so I'm requesting an adopter for the ghdl package.

The package description is:
 (Description from the GHDL home page <http://ghdl.free.fr>):
 .
 GHDL is a VHDL simulator, using the GCC technology.
 .
 VHDL is a language standardized by the IEEE, intended for developing
 electronic systems.
 .
 GHDL implements the VHDL language according to the IEEE 1076-1987 or
 the IEEE 1076-1993 standard. GHDL compiles VHDL files and creates a
 binary which simulates (or executes) your design.
 .
 GHDL does not do synthesis: it cannot translate your design into a
 netlist.



More information about the Pkg-electronics-devel mailing list