[Pkg-electronics-devel] Bug#1053293: ghdl-llvm: Does not work, ghdl1-llvm not found

Andreas Bombe aeb at debian.org
Sun Oct 1 01:20:21 BST 2023


Package: ghdl-llvm
Version: 3.0.0+dfsg-1
Severity: important

ghdl-llvm has become unusable with 3.0.0+dfsg-1. Running ghdl-llvm
immediately aborts with the message:

  /usr/bin/ghdl-llvm:error: installation problem: ghdl1-llvm not found

According to build logs, the testsuite for the LLVM build already fails
with the same message.

-- System Information:
Debian Release: trixie/sid
  APT prefers unstable-debug
  APT policy: (500, 'unstable-debug'), (500, 'unstable'), (1, 'experimental')
Architecture: amd64 (x86_64)
Foreign Architectures: i386

Kernel: Linux 6.5.0-1-amd64 (SMP w/24 CPU threads; PREEMPT)
Kernel taint flags: TAINT_PROPRIETARY_MODULE, TAINT_OOT_MODULE, TAINT_UNSIGNED_MODULE
Locale: LANG=de_DE.UTF-8, LC_CTYPE=de_DE.UTF-8 (charmap=UTF-8), LANGUAGE not set
Shell: /bin/sh linked to /usr/bin/dash
Init: systemd (via /run/systemd/system)
LSM: AppArmor: enabled

Versions of packages ghdl-llvm depends on:
ii  gcc          4:13.2.0-1
ii  ghdl-common  3.0.0+dfsg-1
ii  libc6        2.37-11
ii  libgcc-s1    13.2.0-4
ii  libgnat-12   12.3.0-9
ii  libllvm16    1:16.0.6-15
ii  libstdc++6   13.2.0-4
ii  zlib1g-dev   1:1.2.13.dfsg-3

ghdl-llvm recommends no packages.

ghdl-llvm suggests no packages.

-- no debconf information



More information about the Pkg-electronics-devel mailing list