[Pkg-virtualbox-commits] [virtualbox] branch upstream updated (c923f4d -> a3d2501)

Gianfranco Costamagna locutusofborg-guest at moszumanska.debian.org
Fri Feb 13 14:48:25 UTC 2015


This is an automated email from the git hooks/post-receive script.

locutusofborg-guest pushed a change to branch upstream
in repository virtualbox.

      from  c923f4d   Imported Upstream version 4.3.20-dfsg
      adds  a3d2501   Imported Upstream version 4.3.22-dfsg

No new revisions were added by this update.

Summary of changes:
 Config.kmk                                         |   30 +-
 Makefile.kmk                                       |   13 +-
 doc/manual/en_US/user_VBoxManage.xml               |    3 +-
 doc/manual/user_ChangeLogImpl.xml                  |  177 ++-
 include/VBox/HGSMI/HGSMIChSetup.h                  |    5 +
 include/VBox/VBoxGuest.h                           |   47 +-
 include/VBox/VBoxGuestLib.h                        |   39 +-
 include/VBox/VBoxNetCfg-win.h                      |    2 +
 include/VBox/VBoxVideo.h                           |   76 +
 include/VBox/VBoxVideoGuest.h                      |   11 +-
 include/VBox/VMMDev.h                              |    2 +
 include/VBox/VMMDevTesting.h                       |   10 +-
 include/VBox/VMMDevTesting.mac                     |    3 +-
 include/VBox/apic.h                                |    1 +
 include/VBox/apic.mac                              |    1 +
 include/VBox/err.mac                               |   10 +
 include/VBox/shflsvc.h                             |   10 +-
 include/VBox/sup.h                                 |   12 +
 include/VBox/vd-ifs.h                              |    7 +-
 include/VBox/vmm/cpum.h                            |    2 +-
 include/VBox/vmm/hm_vmx.h                          |    4 +-
 include/VBox/vmm/pdmifs.h                          |  107 +-
 include/VBox/vmm/pdmnetifs.h                       |    8 +-
 include/VBox/vmm/tm.h                              |    2 +-
 include/VBox/vmm/vm.h                              |    8 +-
 include/VBox/vmm/vmapi.h                           |    2 +
 include/VBox/vmm/vmcpuset.h                        |    6 +-
 include/iprt/crypto/x509.h                         |   28 +-
 include/iprt/initterm.h                            |    7 +
 include/iprt/ldr.h                                 |   10 +-
 include/iprt/list.h                                |    4 +
 include/iprt/log.h                                 |  131 +-
 include/iprt/mangling.h                            |    8 +
 include/iprt/nt/nt.h                               |   57 +
 include/iprt/socket.h                              |    3 +
 include/iprt/tcp.h                                 |    6 +-
 .../Additions/common/VBoxControl/VBoxControl.cpp   |   22 +-
 src/VBox/Additions/common/VBoxGuest/Makefile.kmk   |    1 +
 .../common/VBoxGuest/VBoxGuest-darwin.cpp          |    7 +
 .../Additions/common/VBoxGuest/VBoxGuest-linux.c   |   56 +-
 .../Additions/common/VBoxGuest/VBoxGuest-solaris.c |    6 +-
 .../Additions/common/VBoxGuest/VBoxGuest-win.cpp   |  262 ++--
 src/VBox/Additions/common/VBoxGuest/VBoxGuest.cpp  |  874 ++++++-----
 .../Additions/common/VBoxGuest/VBoxGuestInternal.h |   56 +-
 .../Additions/common/VBoxGuest/freebsd/Makefile    |    1 +
 .../common/VBoxGuest/freebsd/files_vboxguest       |    1 +
 src/VBox/Additions/common/VBoxGuest/linux/Makefile |    3 +-
 .../common/VBoxGuest/linux/files_vboxguest         |    1 +
 src/VBox/Additions/common/VBoxGuestLib/HGCM.cpp    |   25 +-
 .../Additions/common/VBoxGuestLib/HGCMInternal.cpp |    6 +-
 src/VBox/Additions/common/VBoxGuestLib/Mouse.cpp   |    8 +-
 .../Additions/common/VBoxGuestLib/VBGLR3Internal.h |    4 +
 .../VBoxGuestLib/VBoxGuestR0LibSharedFolders.c     |    8 +-
 .../common/VBoxGuestLib/VBoxGuestR3Lib.cpp         |    2 +-
 .../VBoxGuestLib/VBoxGuestR3LibDaemonize.cpp       |   39 +-
 .../VBoxGuestLib/VBoxGuestR3LibGuestProp.cpp       |   38 +-
 .../common/VBoxGuestLib/VBoxGuestR3LibMisc.cpp     |   18 +-
 .../VBoxGuestLib/VBoxGuestR3LibRuntimeXF86.cpp     |   74 +-
 .../VBoxGuestLib/VBoxGuestR3LibSharedFolders.cpp   |    6 +-
 .../common/VBoxGuestLib/VBoxGuestR3LibVideo.cpp    |  298 +++-
 .../Additions/common/VBoxService/VBoxService.cpp   |   45 +-
 .../common/VBoxService/VBoxServiceAutoMount.cpp    |   24 +-
 .../common/VBoxService/VBoxServiceBalloon.cpp      |   33 +-
 .../VBoxService/VBoxServiceControlProcess.cpp      |    2 +-
 .../common/VBoxService/VBoxServiceCpuHotPlug.cpp   |   43 +-
 .../common/VBoxService/VBoxServiceInternal.h       |    7 +
 .../common/VBoxService/VBoxServicePageSharing.cpp  |   32 +-
 .../common/VBoxService/VBoxServiceStats.cpp        |   33 +-
 .../common/VBoxService/VBoxServiceVMInfo-win.cpp   |    2 +-
 .../common/VBoxService/VBoxServiceVMInfo.cpp       |   59 +-
 src/VBox/Additions/common/VBoxVideo/HGSMIBase.cpp  |   69 +-
 .../Additions/common/VBoxVideo/Modesetting.cpp     |   87 +
 src/VBox/Additions/common/crOpenGL/DD_glc.py       |    0
 src/VBox/Additions/common/crOpenGL/DD_glh.py       |    0
 src/VBox/Additions/common/crOpenGL/NULLfuncs.py    |    0
 .../Additions/common/crOpenGL/array/arrayspu.rc    |    2 +-
 src/VBox/Additions/common/crOpenGL/context.c       |    2 +-
 src/VBox/Additions/common/crOpenGL/cr_gl.py        |    0
 src/VBox/Additions/common/crOpenGL/entrypoints.py  |    0
 .../Additions/common/crOpenGL/feedback/feedback.py |    0
 .../common/crOpenGL/feedback/feedback_funcs.py     |    0
 .../common/crOpenGL/feedback/feedback_state.py     |    0
 .../common/crOpenGL/feedback/feedbackspu_proto.py  |    0
 .../Additions/common/crOpenGL/getprocaddress.py    |    0
 src/VBox/Additions/common/crOpenGL/pack/pack.py    |    0
 src/VBox/Additions/common/crOpenGL/pack/packspu.rc |    4 +-
 .../common/crOpenGL/pack/packspu_beginend.py       |    0
 .../common/crOpenGL/pack/packspu_flush.py          |    0
 .../Additions/common/crOpenGL/pack/packspu_get.py  |    0
 .../common/crOpenGL/pack/packspu_proto.py          |    0
 .../common/crOpenGL/passthrough/passthrough.py     |    0
 src/VBox/Additions/common/crOpenGL/stub_common.py  |    0
 src/VBox/Additions/common/crOpenGL/tsfuncs.py      |    0
 .../common/crOpenGL/windows_getprocaddress.py      |    0
 src/VBox/Additions/haiku/VBoxTray/VBoxDisplay.cpp  |    2 +-
 src/VBox/Additions/linux/Makefile.kmk              |   12 -
 src/VBox/Additions/linux/drm/vboxvideo_drm.c       |    6 +
 src/VBox/Additions/linux/export_modules            |   10 +-
 src/VBox/Additions/linux/installer/vboxadd-x11.sh  |   21 +-
 src/VBox/Additions/linux/sharedfolders/dirops.c    |    8 +-
 src/VBox/Additions/linux/sharedfolders/regops.c    |   10 +-
 src/VBox/Additions/linux/sharedfolders/vfsmod.h    |    8 +-
 .../Additions/solaris/SharedFolders/vboxfs_prov.c  |    2 +-
 .../Additions/solaris/SharedFolders/vboxfs_vfs.c   |    2 +-
 .../Additions/solaris/SharedFolders/vboxfs_vnode.c |    2 +-
 src/VBox/Additions/x11/Installer/98vboxadd-xclient |   20 +-
 src/VBox/Additions/x11/Installer/x11config.sh      |    5 +-
 src/VBox/Additions/x11/VBoxClient/Makefile.kmk     |    7 +-
 src/VBox/Additions/x11/VBoxClient/VBoxClient.h     |   77 +-
 src/VBox/Additions/x11/VBoxClient/clipboard.cpp    |   71 +-
 src/VBox/Additions/x11/VBoxClient/display.cpp      |  568 ++++---
 src/VBox/Additions/x11/VBoxClient/draganddrop.cpp  |   77 +-
 src/VBox/Additions/x11/VBoxClient/hostversion.cpp  |  291 ++--
 src/VBox/Additions/x11/VBoxClient/main.cpp         |  310 ++--
 src/VBox/Additions/x11/VBoxClient/seamless-glue.h  |   29 -
 src/VBox/Additions/x11/VBoxClient/seamless-guest.h |   52 -
 .../Additions/x11/VBoxClient/seamless-host.cpp     |  195 ---
 src/VBox/Additions/x11/VBoxClient/seamless-host.h  |  173 --
 src/VBox/Additions/x11/VBoxClient/seamless-x11.cpp |   52 +-
 src/VBox/Additions/x11/VBoxClient/seamless-x11.h   |   50 +-
 src/VBox/Additions/x11/VBoxClient/seamless.cpp     |  426 ++++-
 src/VBox/Additions/x11/VBoxClient/seamless.h       |  242 +--
 .../VBoxClient/testcase/tstSeamlessX11-auto.cpp    |   62 +-
 .../x11/VBoxClient/testcase/tstSeamlessX11.cpp     |   47 +-
 src/VBox/Additions/x11/VBoxClient/thread.cpp       |   99 --
 src/VBox/Additions/x11/VBoxClient/thread.h         |  131 --
 src/VBox/Additions/x11/undefined_xorg              |   11 +
 src/VBox/Additions/x11/vboxmouse/Makefile.kmk      |   12 +-
 src/VBox/Additions/x11/vboxmouse/vboxmouse.c       |    7 +-
 src/VBox/Additions/x11/vboxvideo/Makefile.kmk      |   40 +-
 src/VBox/Additions/x11/vboxvideo/README.testing    |   20 +
 src/VBox/Additions/x11/vboxvideo/getmode.c         |  541 +++++++
 src/VBox/Additions/x11/vboxvideo/helpers.c         |   91 ++
 src/VBox/Additions/x11/vboxvideo/pointer.c         |  146 +-
 src/VBox/Additions/x11/vboxvideo/setmode.c         |   45 +-
 .../Additions/x11/vboxvideo/testcase/Makefile.kmk  |   48 -
 .../x11/vboxvideo/testcase/tstSetModeXOrg.c        |  141 --
 src/VBox/Additions/x11/vboxvideo/undefined         |    8 +
 src/VBox/Additions/x11/vboxvideo/vboxutils.c       |  504 ------
 src/VBox/Additions/x11/vboxvideo/vboxvideo.c       |  363 ++---
 src/VBox/Additions/x11/vboxvideo/vboxvideo.h       |  164 +-
 src/VBox/Additions/x11/vboxvideo/vboxvideo_dri2.c  |    3 +-
 src/VBox/Additions/x11/vboxvideo/vbva.c            |   74 +-
 src/VBox/Debugger/DBGCPlugInDiggers.rc             |   50 +
 src/VBox/Debugger/Makefile.kmk                     |    4 +
 src/VBox/Debugger/VBoxDbg.rc                       |   50 +
 src/VBox/Debugger/VBoxDbgConsole.cpp               |  141 +-
 src/VBox/Debugger/VBoxDbgConsole.h                 |   43 +
 src/VBox/Devices/Audio/DevIchHdaCodec.cpp          |   30 +-
 src/VBox/Devices/Audio/DevSB16.cpp                 |    4 +-
 src/VBox/Devices/Audio/dsound_template.h           |   67 +-
 src/VBox/Devices/Audio/dsoundaudio.c               |  403 +++--
 .../BaseTools/Source/C/PyEfiCompressor/setup.py    |    0
 .../Firmware/BaseTools/Source/C/PyUtility/setup.py |    0
 .../BaseTools/Source/Python/AutoGen/AutoGen.py     |    0
 .../BaseTools/Source/Python/AutoGen/BuildEngine.py |    0
 .../BaseTools/Source/Python/AutoGen/GenC.py        |    0
 .../BaseTools/Source/Python/AutoGen/GenDepex.py    |    0
 .../BaseTools/Source/Python/AutoGen/GenMake.py     |    0
 .../BaseTools/Source/Python/AutoGen/StrGather.py   |    0
 .../Source/Python/AutoGen/UniClassObject.py        |    0
 .../Firmware/BaseTools/Source/Python/BPDG/BPDG.py  |    0
 .../BaseTools/Source/Python/BPDG/GenVpd.py         |    0
 .../BaseTools/Source/Python/BPDG/StringTable.py    |    0
 .../BaseTools/Source/Python/Common/Database.py     |    0
 .../Source/Python/Common/DecClassObject.py         |    0
 .../BaseTools/Source/Python/Common/Dictionary.py   |    0
 .../Source/Python/Common/DscClassObject.py         |    0
 .../Source/Python/Common/EdkIIWorkspace.py         |    0
 .../Source/Python/Common/EdkIIWorkspaceBuild.py    |    0
 .../BaseTools/Source/Python/Common/EdkLogger.py    |    0
 .../BaseTools/Source/Python/Common/Expression.py   |    0
 .../Source/Python/Common/FdfClassObject.py         |    0
 .../Source/Python/Common/InfClassObject.py         |    0
 .../Source/Python/Common/MigrationUtilities.py     |    0
 .../BaseTools/Source/Python/Common/Misc.py         |    0
 .../BaseTools/Source/Python/Common/Parsing.py      |    0
 .../BaseTools/Source/Python/Common/String.py       |    0
 .../Source/Python/Common/TargetTxtClassObject.py   |    0
 .../Source/Python/Common/ToolDefClassObject.py     |    0
 .../Source/Python/CommonDataClass/ModuleClass.py   |    0
 .../Source/Python/CommonDataClass/PackageClass.py  |    0
 .../Source/Python/CommonDataClass/PlatformClass.py |    0
 .../Firmware/BaseTools/Source/Python/Ecc/CLexer.py |    0
 .../BaseTools/Source/Python/Ecc/CParser.py         |    0
 .../Firmware/BaseTools/Source/Python/Ecc/Check.py  |    0
 .../Source/Python/Ecc/CodeFragmentCollector.py     |    0
 .../BaseTools/Source/Python/Ecc/Configuration.py   |    0
 .../BaseTools/Source/Python/Ecc/Database.py        |    0
 .../Firmware/BaseTools/Source/Python/Ecc/Ecc.py    |    0
 .../BaseTools/Source/Python/Ecc/Exception.py       |    0
 .../BaseTools/Source/Python/Ecc/FileProfile.py     |    0
 .../BaseTools/Source/Python/Ecc/MetaDataParser.py  |    0
 .../Python/Ecc/MetaFileWorkspace/MetaDataTable.py  |    0
 .../Python/Ecc/MetaFileWorkspace/MetaFileParser.py |    0
 .../Python/Ecc/MetaFileWorkspace/MetaFileTable.py  |    0
 .../BaseTools/Source/Python/Ecc/Xml/__init__.py    |    0
 .../EFI/Firmware/BaseTools/Source/Python/Ecc/c.py  |    0
 .../Firmware/BaseTools/Source/Python/Eot/CLexer.py |    0
 .../BaseTools/Source/Python/Eot/CParser.py         |    0
 .../Source/Python/Eot/CodeFragmentCollector.py     |    0
 .../BaseTools/Source/Python/Eot/Database.py        |    0
 .../Firmware/BaseTools/Source/Python/Eot/Eot.py    |    0
 .../BaseTools/Source/Python/Eot/EotGlobalData.py   |    0
 .../BaseTools/Source/Python/Eot/FileProfile.py     |    0
 .../BaseTools/Source/Python/Eot/FvImage.py         |    0
 .../BaseTools/Source/Python/Eot/InfParserLite.py   |    0
 .../Firmware/BaseTools/Source/Python/Eot/Parser.py |    0
 .../EFI/Firmware/BaseTools/Source/Python/Eot/c.py  |    0
 .../Source/Python/GenFds/AprioriSection.py         |    0
 .../BaseTools/Source/Python/GenFds/Capsule.py      |    0
 .../BaseTools/Source/Python/GenFds/CapsuleData.py  |    0
 .../Source/Python/GenFds/ComponentStatement.py     |    0
 .../Source/Python/GenFds/CompressSection.py        |    0
 .../BaseTools/Source/Python/GenFds/DataSection.py  |    0
 .../BaseTools/Source/Python/GenFds/DepexSection.py |    0
 .../BaseTools/Source/Python/GenFds/EfiSection.py   |    0
 .../Firmware/BaseTools/Source/Python/GenFds/Fd.py  |    0
 .../BaseTools/Source/Python/GenFds/FdfParser.py    |    0
 .../Firmware/BaseTools/Source/Python/GenFds/Ffs.py |    0
 .../Source/Python/GenFds/FfsFileStatement.py       |    0
 .../Source/Python/GenFds/FfsInfStatement.py        |    0
 .../Firmware/BaseTools/Source/Python/GenFds/Fv.py  |    0
 .../Source/Python/GenFds/FvImageSection.py         |    0
 .../BaseTools/Source/Python/GenFds/GenFds.py       |    6 +-
 .../Source/Python/GenFds/GenFdsGlobalVariable.py   |    4 +
 .../BaseTools/Source/Python/GenFds/GuidSection.py  |    0
 .../Source/Python/GenFds/OptRomFileStatement.py    |    0
 .../Source/Python/GenFds/OptRomInfStatement.py     |    0
 .../BaseTools/Source/Python/GenFds/OptionRom.py    |    0
 .../BaseTools/Source/Python/GenFds/Region.py       |    0
 .../BaseTools/Source/Python/GenFds/Rule.py         |    0
 .../Source/Python/GenFds/RuleComplexFile.py        |    0
 .../Source/Python/GenFds/RuleSimpleFile.py         |    0
 .../BaseTools/Source/Python/GenFds/Section.py      |    0
 .../BaseTools/Source/Python/GenFds/UiSection.py    |    0
 .../BaseTools/Source/Python/GenFds/VerSection.py   |    0
 .../Firmware/BaseTools/Source/Python/GenFds/Vtf.py |    0
 .../Python/GenPatchPcdTable/GenPatchPcdTable.py    |    0
 .../Source/Python/PatchPcdValue/PatchPcdValue.py   |    0
 .../Source/Python/Table/TableDataModel.py          |    0
 .../BaseTools/Source/Python/Table/TableDec.py      |    0
 .../BaseTools/Source/Python/Table/TableDsc.py      |    0
 .../Source/Python/Table/TableEotReport.py          |    0
 .../BaseTools/Source/Python/Table/TableFdf.py      |    0
 .../BaseTools/Source/Python/Table/TableFile.py     |    0
 .../BaseTools/Source/Python/Table/TableFunction.py |    0
 .../Source/Python/Table/TableIdentifier.py         |    0
 .../BaseTools/Source/Python/Table/TableInf.py      |    0
 .../BaseTools/Source/Python/Table/TablePcd.py      |    0
 .../BaseTools/Source/Python/Table/TableQuery.py    |    0
 .../BaseTools/Source/Python/Table/TableReport.py   |    0
 .../Source/Python/TargetTool/TargetTool.py         |    0
 .../Firmware/BaseTools/Source/Python/Trim/Trim.py  |    0
 .../BaseTools/Source/Python/UPT/BuildVersion.py    |    0
 .../Source/Python/UPT/Core/DependencyRules.py      |    0
 .../Python/UPT/Core/DistributionPackageClass.py    |    0
 .../BaseTools/Source/Python/UPT/Core/IpiDb.py      |    0
 .../Source/Python/UPT/Core/PackageFile.py          |    0
 .../BaseTools/Source/Python/UPT/Core/__init__.py   |    0
 .../Source/Python/UPT/GenMetaFile/GenDecFile.py    |    0
 .../Source/Python/UPT/GenMetaFile/GenInfFile.py    |    0
 .../Python/UPT/GenMetaFile/GenMetaFileMisc.py      |    0
 .../Source/Python/UPT/GenMetaFile/GenXmlFile.py    |    0
 .../Source/Python/UPT/GenMetaFile/__init__.py      |    0
 .../BaseTools/Source/Python/UPT/InstallPkg.py      |    0
 .../Source/Python/UPT/Library/CommentGenerating.py |    0
 .../Source/Python/UPT/Library/CommentParsing.py    |    0
 .../Source/Python/UPT/Library/DataType.py          |    0
 .../Python/UPT/Library/ExpressionValidate.py       |    0
 .../Source/Python/UPT/Library/GlobalData.py        |    0
 .../BaseTools/Source/Python/UPT/Library/Misc.py    |    0
 .../Source/Python/UPT/Library/ParserValidate.py    |    0
 .../BaseTools/Source/Python/UPT/Library/Parsing.py |    0
 .../BaseTools/Source/Python/UPT/Library/String.py  |    0
 .../Source/Python/UPT/Library/Xml/XmlRoutines.py   |    0
 .../Source/Python/UPT/Library/Xml/__init__.py      |    0
 .../Source/Python/UPT/Library/__init__.py          |    0
 .../BaseTools/Source/Python/UPT/Logger/Log.py      |    0
 .../Source/Python/UPT/Logger/StringTable.py        |    0
 .../BaseTools/Source/Python/UPT/Logger/__init__.py |    0
 .../Firmware/BaseTools/Source/Python/UPT/MkPkg.py  |    0
 .../Source/Python/UPT/Object/POM/CommonObject.py   |    0
 .../Source/Python/UPT/Object/POM/ModuleObject.py   |    0
 .../Source/Python/UPT/Object/POM/PackageObject.py  |    0
 .../Source/Python/UPT/Object/POM/__init__.py       |    0
 .../Source/Python/UPT/Object/Parser/DecObject.py   |    0
 .../Python/UPT/Object/Parser/InfBinaryObject.py    |    0
 .../UPT/Object/Parser/InfBuildOptionObject.py      |    0
 .../UPT/Object/Parser/InfDefineCommonObject.py     |    0
 .../Python/UPT/Object/Parser/InfDefineObject.py    |    0
 .../Python/UPT/Object/Parser/InfDepexObject.py     |    0
 .../Python/UPT/Object/Parser/InfGuidObject.py      |    0
 .../UPT/Object/Parser/InfLibraryClassesObject.py   |    0
 .../Source/Python/UPT/Object/Parser/InfMisc.py     |    0
 .../Python/UPT/Object/Parser/InfPackagesObject.py  |    0
 .../Python/UPT/Object/Parser/InfPcdObject.py       |    0
 .../Python/UPT/Object/Parser/InfPpiObject.py       |    0
 .../Python/UPT/Object/Parser/InfProtocolObject.py  |    0
 .../Python/UPT/Object/Parser/InfSoucesObject.py    |    0
 .../UPT/Object/Parser/InfUserExtensionObject.py    |    0
 .../Source/Python/UPT/Object/Parser/__init__.py    |    0
 .../BaseTools/Source/Python/UPT/Object/__init__.py |    0
 .../Source/Python/UPT/Parser/DecParser.py          |    0
 .../Source/Python/UPT/Parser/DecParserMisc.py      |    0
 .../Source/Python/UPT/Parser/InfAsBuiltProcess.py  |    0
 .../Python/UPT/Parser/InfBinarySectionParser.py    |    0
 .../UPT/Parser/InfBuildOptionSectionParser.py      |    0
 .../Python/UPT/Parser/InfDefineSectionParser.py    |    0
 .../Python/UPT/Parser/InfDepexSectionParser.py     |    0
 .../UPT/Parser/InfGuidPpiProtocolSectionParser.py  |    0
 .../Python/UPT/Parser/InfLibrarySectionParser.py   |    0
 .../Python/UPT/Parser/InfPackageSectionParser.py   |    0
 .../Source/Python/UPT/Parser/InfParser.py          |    0
 .../Source/Python/UPT/Parser/InfParserMisc.py      |    0
 .../Python/UPT/Parser/InfPcdSectionParser.py       |    0
 .../Source/Python/UPT/Parser/InfSectionParser.py   |    0
 .../Python/UPT/Parser/InfSourceSectionParser.py    |    0
 .../BaseTools/Source/Python/UPT/Parser/__init__.py |    0
 .../Python/UPT/PomAdapter/DecPomAlignment.py       |    0
 .../Python/UPT/PomAdapter/InfPomAlignment.py       |    0
 .../Python/UPT/PomAdapter/InfPomAlignmentMisc.py   |    0
 .../Source/Python/UPT/PomAdapter/__init__.py       |    0
 .../Firmware/BaseTools/Source/Python/UPT/RmPkg.py  |    0
 .../Firmware/BaseTools/Source/Python/UPT/UPT.py    |    0
 .../UPT/UnitTest/CommentGeneratingUnitTest.py      |    0
 .../Python/UPT/UnitTest/CommentParsingUnitTest.py  |    0
 .../Source/Python/UPT/UnitTest/DecParserTest.py    |    0
 .../Python/UPT/UnitTest/DecParserUnitTest.py       |    0
 .../Python/UPT/UnitTest/InfBinarySectionTest.py    |    0
 .../BaseTools/Source/Python/UPT/Xml/CommonXml.py   |    0
 .../Source/Python/UPT/Xml/GuidProtocolPpiXml.py    |    0
 .../BaseTools/Source/Python/UPT/Xml/IniToXml.py    |    0
 .../Source/Python/UPT/Xml/ModuleSurfaceAreaXml.py  |    0
 .../Source/Python/UPT/Xml/PackageSurfaceAreaXml.py |    0
 .../BaseTools/Source/Python/UPT/Xml/PcdXml.py      |    0
 .../BaseTools/Source/Python/UPT/Xml/XmlParser.py   |    0
 .../Source/Python/UPT/Xml/XmlParserMisc.py         |    0
 .../BaseTools/Source/Python/UPT/Xml/__init__.py    |    0
 .../Source/Python/Workspace/BuildClassObject.py    |    0
 .../Source/Python/Workspace/MetaDataTable.py       |    0
 .../Source/Python/Workspace/MetaFileParser.py      |    0
 .../Source/Python/Workspace/MetaFileTable.py       |    0
 .../Source/Python/Workspace/WorkspaceDatabase.py   |    0
 .../BaseTools/Source/Python/build/BuildReport.py   |    0
 .../BaseTools/Source/Python/build/build.py         |    0
 src/VBox/Devices/EFI/FirmwareBin/VBoxEFI32.fd      |  Bin 1048576 -> 1048576 bytes
 src/VBox/Devices/EFI/FirmwareBin/VBoxEFI64.fd      |  Bin 1048576 -> 1048576 bytes
 .../Graphics/BIOS/VBoxVgaBiosAlternative.asm       |    6 +-
 .../Graphics/BIOS/VBoxVgaBiosAlternative.md5sum    |    2 +-
 src/VBox/Devices/Graphics/DevVGA-SVGA.cpp          | 1217 ++++++++++----
 src/VBox/Devices/Graphics/DevVGA-SVGA3d-cocoa.h    |   28 +-
 src/VBox/Devices/Graphics/DevVGA-SVGA3d-cocoa.m    |  467 ++++--
 src/VBox/Devices/Graphics/DevVGA-SVGA3d-ogl.cpp    |  951 +++++++++--
 src/VBox/Devices/Graphics/DevVGA-SVGA3d-shared.h   |    2 +-
 src/VBox/Devices/Graphics/DevVGA-SVGA3d-win.cpp    |   39 +-
 src/VBox/Devices/Graphics/DevVGA-SVGA3d.h          |   35 +-
 src/VBox/Devices/Graphics/DevVGA.cpp               |   14 +-
 src/VBox/Devices/Graphics/DevVGA.h                 |   28 +-
 src/VBox/Devices/Graphics/DevVGASavedState.h       |    3 +-
 src/VBox/Devices/Graphics/DevVGA_VBVA.cpp          |  184 +++
 src/VBox/Devices/Graphics/VBoxSVGA3D.def           |   38 +
 src/VBox/Devices/Graphics/VBoxSVGA3D.rc            |   50 +
 src/VBox/Devices/Graphics/VBoxSVGA3DObjC.def       |   28 +
 src/VBox/Devices/Graphics/shaderlib/directx.c      |  166 +-
 src/VBox/Devices/Graphics/shaderlib/glsl_shader.c  |   12 +
 src/VBox/Devices/Graphics/shaderlib/shader.c       |   18 +-
 src/VBox/Devices/Graphics/shaderlib/shaderapi.c    |  154 +-
 src/VBox/Devices/Graphics/shaderlib/shaderlib.h    |   74 +-
 src/VBox/Devices/Graphics/shaderlib/wined3d_gl.h   |  742 ++++-----
 .../Devices/Graphics/shaderlib/wined3d_private.h   |   47 +-
 src/VBox/Devices/Input/DevPS2.cpp                  |    2 +-
 src/VBox/Devices/Makefile.kmk                      |  190 ++-
 src/VBox/Devices/Network/DrvNAT.cpp                |  103 +-
 src/VBox/Devices/Network/SrvIntNetR0.cpp           |    7 +-
 src/VBox/Devices/Network/slirp/bootp.c             |   33 +-
 .../Devices/Network/slirp/bsd/kern/uipc_mbuf.c     |    2 +-
 src/VBox/Devices/Network/slirp/debug.c             |   64 +-
 src/VBox/Devices/Network/slirp/dnsproxy/dnsproxy.c |   39 +-
 src/VBox/Devices/Network/slirp/dnsproxy/dnsproxy.h |    5 +
 src/VBox/Devices/Network/slirp/ip_icmp.c           |  195 +--
 src/VBox/Devices/Network/slirp/ip_icmp.h           |    8 +
 src/VBox/Devices/Network/slirp/ip_icmpwin.c        |  538 +++++++
 src/VBox/Devices/Network/slirp/ip_input.c          |   14 +-
 src/VBox/Devices/Network/slirp/ip_output.c         |   13 +-
 src/VBox/Devices/Network/slirp/libalias/alias.c    |    4 +-
 src/VBox/Devices/Network/slirp/libalias/alias_db.c |  198 +--
 .../Devices/Network/slirp/libalias/alias_ftp.c     |    9 +-
 .../Devices/Network/slirp/libalias/alias_local.h   |    2 +-
 .../Devices/Network/slirp/libalias/alias_mod.c     |    2 +-
 .../Devices/Network/slirp/libalias/alias_mod.h     |    2 +-
 .../Devices/Network/slirp/libalias/alias_nbt.c     |   16 +-
 .../Devices/Network/slirp/libalias/alias_util.c    |    2 +-
 src/VBox/Devices/Network/slirp/libslirp.h          |   33 +-
 src/VBox/Devices/Network/slirp/misc.c              |    5 +-
 .../Devices/Network/slirp/resolv_conf_parser.c     |   50 +-
 .../Devices/Network/slirp/resolv_conf_parser.h     |   16 +-
 src/VBox/Devices/Network/slirp/sbuf.c              |   31 +-
 src/VBox/Devices/Network/slirp/slirp.c             |  171 +-
 src/VBox/Devices/Network/slirp/slirp.h             |    7 +
 src/VBox/Devices/Network/slirp/slirp_dns.c         |  222 +--
 src/VBox/Devices/Network/slirp/slirp_state.h       |   40 +-
 src/VBox/Devices/Network/slirp/socket.c            |  183 +--
 src/VBox/Devices/Network/slirp/socket.h            |   10 +-
 src/VBox/Devices/Network/slirp/tcp_input.c         |  118 +-
 src/VBox/Devices/Network/slirp/tcp_subr.c          |    9 +-
 src/VBox/Devices/Network/slirp/udp.c               |   14 +-
 src/VBox/Devices/PC/BIOS/VBoxBiosAlternative.asm   |    4 +-
 .../Devices/PC/BIOS/VBoxBiosAlternative.md5sum     |    2 +-
 src/VBox/Devices/PC/DevACPI.cpp                    |   19 +
 src/VBox/Devices/PC/vbox.dsl                       |   35 +
 src/VBox/Devices/Storage/DevAHCI.cpp               |   25 +-
 src/VBox/Devices/Storage/DevATA.cpp                |   15 +-
 src/VBox/Devices/Storage/DrvVD.cpp                 |   84 +-
 src/VBox/Devices/USB/DevOHCI.cpp                   |  104 +-
 src/VBox/Devices/USB/VUSBDevice.cpp                |    3 +-
 src/VBox/Devices/USB/VUSBInternal.h                |    2 +-
 src/VBox/Devices/USB/VUSBUrb.cpp                   |   13 +-
 src/VBox/Devices/VMMDev/VMMDev.cpp                 |   53 +-
 src/VBox/Devices/VMMDev/VMMDevTesting.cpp          |   63 +-
 src/VBox/Devices/build/VBoxDD.rc                   |   50 +
 src/VBox/Devices/build/VBoxDD2.rc                  |   50 +
 src/VBox/Devices/build/VBoxDDU.rc                  |   50 +
 src/VBox/Frontends/VBoxBalloonCtrl/Makefile.kmk    |    2 +
 .../Frontends/VBoxBalloonCtrl/VBoxBalloonCtrl.rc   |   50 +
 src/VBox/Frontends/VBoxHeadless/Makefile.kmk       |    8 +-
 .../VirtualBox.rc => VBoxHeadless/VBoxHeadless.rc} |   30 +-
 src/VBox/Frontends/VBoxManage/Makefile.kmk         |    2 +
 src/VBox/Frontends/VBoxManage/VBoxManage.rc        |   50 +
 src/VBox/Frontends/VBoxManage/VBoxManageMisc.cpp   |    9 +-
 src/VBox/Frontends/VBoxSDL/Makefile.kmk            |    6 +
 .../win/VirtualBox.rc => VBoxSDL/VBoxSDL.rc}       |   30 +-
 src/VBox/Frontends/VirtualBox/VirtualBox2.qrc      |    4 +
 src/VBox/Frontends/VirtualBox/images/os_win10.png  |  Bin 0 -> 2676 bytes
 .../Frontends/VirtualBox/images/os_win10_64.png    |  Bin 0 -> 2673 bytes
 src/VBox/Frontends/VirtualBox/images/os_win81.png  |  Bin 0 -> 2698 bytes
 .../Frontends/VirtualBox/images/os_win81_64.png    |  Bin 0 -> 2692 bytes
 .../VirtualBox/src/globals/COMWrappers.xsl         |    1 +
 .../VirtualBox/src/globals/UIMessageCenter.cpp     |    2 +-
 .../VirtualBox/src/globals/VBoxGlobal.cpp          |   10 +-
 .../Frontends/VirtualBox/src/globals/VBoxGlobal.h  |    2 +
 .../VirtualBox/src/net/UIDownloaderAdditions.cpp   |    2 +-
 .../src/net/UIDownloaderExtensionPack.cpp          |    2 +-
 .../VirtualBox/src/net/UIDownloaderUserManual.cpp  |    2 +-
 .../VirtualBox/src/net/UINetworkReply.cpp          |    4 +-
 .../src/platform/darwin/DarwinKeyboard.cpp         |    5 +-
 .../VirtualBox/src/platform/win/VirtualBox.rc      |   24 +-
 .../src/platform/win/VirtualBoxHardened.rc         |   20 +-
 .../VirtualBox/src/runtime/UIFrameBufferQImage.cpp |    4 +-
 .../src/runtime/UIFrameBufferQuartz2D.cpp          |    4 +-
 .../VirtualBox/src/runtime/UIKeyboardHandler.cpp   |    6 +-
 .../VirtualBox/src/runtime/UIMachineLogic.cpp      |    2 +-
 .../VirtualBox/src/runtime/UIMachineView.cpp       |   25 +
 .../VirtualBox/src/runtime/UIMultiScreenLayout.cpp |    2 +-
 .../Frontends/VirtualBox/src/runtime/UISession.cpp |    7 +-
 .../Frontends/VirtualBox/src/runtime/UISession.h   |    5 +-
 .../fullscreen/UIMachineLogicFullscreen.cpp        |    5 +-
 .../runtime/fullscreen/UIMachineViewFullscreen.cpp |    2 +-
 .../src/runtime/normal/UIMachineLogicNormal.cpp    |    6 +
 .../src/runtime/normal/UIMachineViewNormal.cpp     |    2 +-
 .../runtime/seamless/UIMachineLogicSeamless.cpp    |    5 +-
 .../src/runtime/seamless/UIMachineViewSeamless.cpp |    2 +-
 .../settings/global/UIGlobalSettingsGeneral.cpp    |    4 +-
 .../src/wizards/newvm/UIWizardNewVMPageBasic1.cpp  |    2 +
 src/VBox/GuestHost/OpenGL/Makefile.kmk             |   12 +-
 src/VBox/GuestHost/OpenGL/error/VBoxOGLerrorspu.rc |   81 +
 src/VBox/GuestHost/OpenGL/error/error.py           |    0
 src/VBox/GuestHost/OpenGL/error/errorspu.rc        |   40 +-
 src/VBox/GuestHost/OpenGL/glapi_parser/apiutil.py  |    0
 src/VBox/GuestHost/OpenGL/include/cr_blitter.h     |  205 ++-
 src/VBox/GuestHost/OpenGL/include/cr_compositor.h  |  140 +-
 src/VBox/GuestHost/OpenGL/include/cr_protocol.h    |    4 +-
 src/VBox/GuestHost/OpenGL/include/cr_vreg.h        |  207 +--
 src/VBox/GuestHost/OpenGL/packer/pack_current.py   |    0
 .../GuestHost/OpenGL/packer/pack_currentheader.py  |    0
 src/VBox/GuestHost/OpenGL/packer/pack_header.py    |    0
 src/VBox/GuestHost/OpenGL/packer/packer.py         |    0
 src/VBox/GuestHost/OpenGL/packer/packer_bbox.py    |    0
 src/VBox/GuestHost/OpenGL/spu_loader/dispatch.py   |    0
 .../GuestHost/OpenGL/spu_loader/dispatchheader.py  |    0
 src/VBox/GuestHost/OpenGL/spu_loader/glloader.py   |    0
 src/VBox/GuestHost/OpenGL/spu_loader/spuchange.py  |    0
 src/VBox/GuestHost/OpenGL/spu_loader/spucopy.py    |    0
 src/VBox/GuestHost/OpenGL/state_tracker/convert.py |    0
 .../GuestHost/OpenGL/state_tracker/dump_gen.py     |    0
 .../OpenGL/state_tracker/state_current.py          |    0
 .../GuestHost/OpenGL/state_tracker/state_defs.py   |    0
 .../GuestHost/OpenGL/state_tracker/state_funcs.py  |    0
 .../GuestHost/OpenGL/state_tracker/state_get.py    |    0
 .../OpenGL/state_tracker/state_isenabled.py        |    0
 src/VBox/GuestHost/OpenGL/util/VBoxOGLcrutil.rc    |   81 +
 src/VBox/GuestHost/OpenGL/util/blitter.cpp         |  221 ++-
 src/VBox/GuestHost/OpenGL/util/compositor.cpp      |  234 +--
 src/VBox/GuestHost/OpenGL/util/debug_opcodes.py    |    0
 src/VBox/GuestHost/OpenGL/util/error.c             |  136 +-
 src/VBox/GuestHost/OpenGL/util/net.c               |    5 -
 src/VBox/GuestHost/OpenGL/util/util.def            |    1 -
 src/VBox/GuestHost/OpenGL/util/util.rc             |   54 +-
 src/VBox/GuestHost/OpenGL/util/vreg.cpp            |  372 +++--
 src/VBox/HostDrivers/Support/Makefile.kmk          |    7 +-
 src/VBox/HostDrivers/Support/SUPDrv.c              |  238 ++-
 src/VBox/HostDrivers/Support/SUPDrvIOC.h           |   15 +-
 src/VBox/HostDrivers/Support/SUPDrvInternal.h      |    2 +
 src/VBox/HostDrivers/Support/SUPLib.cpp            |   27 +-
 src/VBox/HostDrivers/Support/linux/SUPDrv-linux.c  |   20 +-
 src/VBox/HostDrivers/Support/testcase/tstGIP-2.cpp |   41 +-
 ...hority2014-078f0a9d03df119e434e4fec1bf0235a.taf |  Bin 0 -> 730 bytes
 .../Support/win/SUPHardenedVerifyImage-win.cpp     |    9 +-
 .../Support/win/SUPR3HardenedMain-win.cpp          |   48 +-
 src/VBox/HostDrivers/Support/win/VBoxDrv.rc        |   56 +-
 src/VBox/HostDrivers/Support/win/VBoxSupLib.rc     |   59 +
 .../Support/win/import-template-ntdll.h            |    1 +
 .../{win/drv/VBoxNetFlt-win.rc => VBoxNetFlt.rc}   |   17 +-
 .../HostDrivers/VBoxNetFlt/win/cfg/VBoxNetCfg.cpp  |   31 +-
 .../HostDrivers/VBoxNetFlt/win/drv/VBoxNetAdp.inf  |    2 +-
 .../VBoxNetFlt/win/drv/VBoxNetFlt-win.rc           |   56 +-
 .../VBoxNetFlt/win/nobj/VBoxNetFltNobj.rc          |   25 +-
 src/VBox/HostDrivers/VBoxUSB/win/dev/VBoxUsbDev.rc |   52 +-
 src/VBox/HostDrivers/VBoxUSB/win/mon/VBoxUsbMon.rc |   52 +-
 src/VBox/HostDrivers/linux/export_modules          |   10 +-
 src/VBox/HostDrivers/win/cfg/VBoxDrvCfg.cpp        |   49 +-
 src/VBox/HostDrivers/win/load.sh                   |   20 +-
 src/VBox/HostDrivers/win/loadall.sh                |   22 +-
 src/VBox/HostServices/DragAndDrop/Makefile.kmk     |    3 +
 .../HostServices/DragAndDrop/VBoxDragAndDropSvc.rc |   50 +
 src/VBox/HostServices/GuestControl/Makefile.kmk    |    3 +
 .../GuestControl/VBoxGuestControlSvc.rc            |   50 +
 src/VBox/HostServices/GuestProperties/Makefile.kmk |    3 +
 .../GuestProperties/VBoxGuestPropSvc.rc            |   50 +
 src/VBox/HostServices/HostChannel/Makefile.kmk     |    3 +
 .../HostServices/HostChannel/VBoxHostChannel.rc    |   50 +
 src/VBox/HostServices/SharedClipboard/Makefile.kmk |    3 +-
 .../SharedClipboard/VBoxSharedClipboard.rc         |   50 +
 src/VBox/HostServices/SharedFolders/Makefile.kmk   |    2 +
 .../SharedFolders/VBoxSharedFolders.rc             |   50 +
 src/VBox/HostServices/SharedOpenGL/Makefile.kmk    |    8 +-
 .../SharedOpenGL/OpenGLTest/OpenGLTestDarwin.cpp   |   27 +-
 .../SharedOpenGL/OpenGLTest/VBoxTestOGL.rc         |   50 +
 .../SharedOpenGL/crserver/VBoxSharedCrOpenGL.rc    |   50 +
 .../SharedOpenGL/crserverlib/server_config.c       |   36 +
 .../SharedOpenGL/crserverlib/server_dispatch.py    |    0
 .../crserverlib/server_dispatch_header.py          |    0
 .../SharedOpenGL/crserverlib/server_get.py         |    0
 .../SharedOpenGL/crserverlib/server_main.c         |    3 +
 .../SharedOpenGL/crserverlib/server_retval.py      |    0
 .../SharedOpenGL/crserverlib/server_simpleget.py   |    0
 .../SharedOpenGL/render/VBoxOGLrenderspu.rc        |   50 +
 .../SharedOpenGL/render/renderspu_cocoa_helper.h   |    9 +-
 .../SharedOpenGL/render/renderspu_cocoa_helper.m   | 1659 ++++++++++++--------
 .../HostServices/SharedOpenGL/unpacker/unpack.py   |    0
 .../SharedOpenGL/unpacker/unpack_extend.py         |    0
 .../SharedOpenGL/unpacker/unpack_header.py         |    0
 src/VBox/HostServices/auth/Makefile.kmk            |    3 +-
 .../HostServices/auth/simple/VBoxAuthSimple.rc     |   50 +
 src/VBox/HostServices/auth/winlogon/VBoxAuth.rc    |   50 +
 src/VBox/Installer/darwin/Makefile.kmk             |    3 +-
 src/VBox/Installer/linux/distributions_rpm         |    4 +
 src/VBox/Installer/linux/rpm/rules                 |    6 +-
 src/VBox/Installer/linux/run-inst.sh               |    4 +-
 src/VBox/Installer/linux/vboxdrv-pardus.py         |    0
 src/VBox/Installer/win/InstallHelper/Makefile.kmk  |    1 +
 .../win/InstallHelper/VBoxInstallHelper.cpp        |   73 +-
 .../win/InstallHelper/VBoxInstallHelper.def        |    1 +
 .../win/InstallHelper/VBoxInstallHelper.rc         |   50 +
 src/VBox/Installer/win/VBoxMergeNetAdpCA.wxi       |    3 +-
 src/VBox/Installer/win/VBoxMergeNetAdpSeq.wxi      |   10 +-
 src/VBox/Installer/win/VirtualBox.wxs              |   13 +-
 src/VBox/Main/Makefile.kmk                         |    5 +
 src/VBox/Main/cbinding/Makefile.kmk                |    2 +
 src/VBox/Main/cbinding/VBoxCAPI.cpp                |  169 +-
 src/VBox/Main/cbinding/VBoxCAPI.rc                 |   50 +
 src/VBox/Main/cbinding/VBoxCAPIGlue.c              |   82 +-
 src/VBox/Main/cbinding/capiidl.xsl                 |   34 +-
 src/VBox/Main/glue/com.cpp                         |    3 +-
 src/VBox/Main/glue/vboxapi.py                      |   23 +-
 src/VBox/Main/include/ConsoleImpl.h                |   13 +
 src/VBox/Main/include/DisplayImpl.h                |   30 +
 src/VBox/Main/include/DisplayUtils.h               |    2 +
 src/VBox/Main/include/MediumImpl.h                 |    3 +-
 src/VBox/Main/include/MouseImpl.h                  |    5 +-
 src/VBox/Main/src-all/Global.cpp                   |   14 +-
 src/VBox/Main/src-client/ConsoleImpl.cpp           |  300 +++-
 src/VBox/Main/src-client/ConsoleImpl2.cpp          |  175 ++-
 src/VBox/Main/src-client/DisplayImpl.cpp           |  305 +++-
 src/VBox/Main/src-client/GuestImpl.cpp             |    3 -
 src/VBox/Main/src-client/MouseImpl.cpp             |   52 +-
 src/VBox/Main/src-client/README.testing            |   16 +
 src/VBox/Main/src-client/VMMDevInterface.cpp       |    9 +
 src/VBox/Main/src-client/win/VBoxC.rc              |   25 +-
 src/VBox/Main/src-client/win/VBoxClient-x86.rc     |   25 +-
 .../Main/src-helper-apps/VBoxExtPackHelperApp.rc   |   50 +
 src/VBox/Main/src-server/HostDnsService.cpp        |    2 +-
 src/VBox/Main/src-server/HostDnsService.h          |    1 -
 .../Main/src-server/HostDnsServiceResolvConf.cpp   |   23 +-
 src/VBox/Main/src-server/MachineImpl.cpp           |   37 +-
 src/VBox/Main/src-server/MediumImpl.cpp            |   17 +-
 src/VBox/Main/src-server/SnapshotImpl.cpp          |    1 +
 .../src-server/darwin/HostDnsServiceDarwin.cpp     |    4 +-
 .../Main/src-server/linux/HostDnsServiceLinux.cpp  |    3 +-
 src/VBox/Main/src-server/win/HostDnsServiceWin.cpp |  362 +++--
 src/VBox/Main/src-server/win/VBoxSVC.rc            |   25 +-
 src/VBox/Main/testcase/tstMouseImpl.cpp            |    3 +
 src/VBox/Main/webservice/Makefile.kmk              |    2 +
 src/VBox/Main/webservice/VBoxWebSrv.rc             |   50 +
 src/VBox/NetworkServices/DHCP/Makefile.kmk         |   22 +-
 src/VBox/NetworkServices/DHCP/VBoxNetDHCP.rc       |   54 +
 src/VBox/NetworkServices/NAT/Makefile.kmk          |   27 +-
 src/VBox/NetworkServices/NAT/RTWinPoll.cpp         |   17 +-
 src/VBox/NetworkServices/NAT/RTWinSocketPair.cpp   |   17 +
 src/VBox/NetworkServices/NAT/VBoxNetNAT.rc         |   54 +
 src/VBox/NetworkServices/NAT/dhcp6.h               |   18 +-
 src/VBox/NetworkServices/NAT/fwtcp.c               |   18 +-
 src/VBox/NetworkServices/NAT/fwudp.c               |   18 +-
 src/VBox/NetworkServices/NAT/lwipopts.h            |   17 +
 src/VBox/NetworkServices/NAT/portfwd.c             |   18 +-
 src/VBox/NetworkServices/NAT/portfwd.h             |   18 +-
 src/VBox/NetworkServices/NAT/proxy.c               |   18 +-
 src/VBox/NetworkServices/NAT/proxy.h               |   17 +
 src/VBox/NetworkServices/NAT/proxy_dhcp6ds.c       |   19 +-
 src/VBox/NetworkServices/NAT/proxy_pollmgr.c       |   18 +-
 src/VBox/NetworkServices/NAT/proxy_pollmgr.h       |   18 +-
 src/VBox/NetworkServices/NAT/proxy_rtadvd.c        |   18 +-
 src/VBox/NetworkServices/NAT/proxy_tftpd.c         |   18 +-
 src/VBox/NetworkServices/NAT/pxdns.c               |    7 +-
 src/VBox/NetworkServices/NAT/pxping.c              |   18 +-
 src/VBox/NetworkServices/NAT/pxping_win.c          |   18 +-
 src/VBox/NetworkServices/NAT/pxremap.c             |   18 +-
 src/VBox/NetworkServices/NAT/pxremap.h             |   18 +-
 src/VBox/NetworkServices/NAT/pxtcp.c               |   18 +-
 src/VBox/NetworkServices/NAT/pxtcp.h               |   18 +-
 src/VBox/NetworkServices/NAT/pxudp.c               |   18 +-
 src/VBox/NetworkServices/NAT/rtmon_bsd.c           |   19 +-
 src/VBox/NetworkServices/NAT/rtmon_linux.c         |   19 +-
 src/VBox/NetworkServices/NAT/rtmon_win.c           |   17 +
 src/VBox/NetworkServices/NAT/tftp.h                |   18 +-
 src/VBox/NetworkServices/NAT/winpoll.h             |   17 +
 src/VBox/NetworkServices/NAT/winutils.h            |   17 +
 .../NetworkServices/NetLib/VBoxNetBaseService.cpp  |   29 +-
 src/VBox/NetworkServices/NetLib/shared_ptr.h       |   17 +
 src/VBox/Runtime/Makefile.kmk                      |    1 +
 src/VBox/Runtime/common/crypto/x509-template.h     |   19 +-
 src/VBox/Runtime/common/ldr/ldrPE.cpp              |   52 +-
 src/VBox/Runtime/include/internal/ldrPE.h          |   92 +-
 src/VBox/Runtime/include/internal/socket.h         |    2 +-
 .../r0drv/linux/memuserkernel-r0drv-linux.c        |   19 +-
 src/VBox/Runtime/r0drv/nt/initterm-r0drv-nt.cpp    |   54 +-
 src/VBox/Runtime/r0drv/nt/internal-r0drv-nt.h      |   35 +-
 src/VBox/Runtime/r0drv/nt/time-r0drv-nt.cpp        |   53 +-
 src/VBox/Runtime/r0drv/nt/timer-r0drv-nt.cpp       |  125 +-
 src/VBox/Runtime/r3/init.cpp                       |    8 +
 src/VBox/Runtime/r3/socket.cpp                     |   77 +-
 src/VBox/Runtime/r3/tcp.cpp                        |    8 +-
 src/VBox/Runtime/r3/win/VBoxRT-openssl.def         |    1 -
 src/VBox/Runtime/r3/win/VBoxRT.rc                  |   59 +
 src/VBox/Runtime/r3/win/ntdll-mini-implib.def      |   15 +-
 src/VBox/Runtime/r3/win/path-win.cpp               |   34 +-
 src/VBox/Storage/ISCSI.cpp                         |    2 +-
 src/VBox/Storage/Makefile.kmk                      |    1 +
 src/VBox/Storage/testcase/Makefile.kmk             |    4 +-
 src/VBox/Storage/testcase/vbox-img.rc              |   50 +
 src/VBox/VMM/Makefile.kmk                          |    2 +
 src/VBox/VMM/VMMAll/CPUMAllRegs.cpp                |    2 +-
 src/VBox/VMM/VMMAll/TMAllVirtual.cpp               |    2 +-
 src/VBox/VMM/VMMR0/HMVMXR0.cpp                     |   20 +-
 src/VBox/VMM/VMMR0/VMMR0.def                       |    1 +
 src/VBox/VMM/VMMR3/VMEmt.cpp                       |   60 +-
 src/VBox/VMM/VMMR3/VMMR3.rc                        |   50 +
 src/VBox/VMM/VMMSwitcher/AMD64andLegacy.mac        |   33 +
 src/VBox/VMM/VMMSwitcher/LegacyandAMD64.mac        |   33 +
 src/VBox/VMM/VMMSwitcher/PAEand32Bit.mac           |   33 +
 src/VBox/VMM/tools/VBoxVMMPreload.cpp              |   22 +-
 src/bldprogs/VBoxDef2LazyLoad.cpp                  |  395 ++++-
 src/libs/Makefile.kmk                              |    2 +-
 .../xpcom18a4/ipc/ipcd/client/src/ipcdclient.cpp   |    8 +-
 .../extensions/dconnect/src/ipcDConnectService.cpp |    4 +-
 src/libs/xpcom18a4/ipc/ipcd/shared/src/ipcList.h   |   13 +
 src/libs/xpcom18a4/nsprpub/Makefile.in             |    0
 src/libs/xpcom18a4/nsprpub/config/config.mk        |    0
 src/libs/xpcom18a4/nsprpub/config/rules.mk         |    0
 src/libs/xpcom18a4/python/client/__init__.py       |    0
 src/libs/xpcom18a4/python/file.py                  |    0
 src/libs/xpcom18a4/python/primitives.py            |    0
 src/libs/xpcom18a4/python/server/__init__.py       |    0
 src/libs/xpcom18a4/python/server/enumerator.py     |    0
 src/libs/xpcom18a4/python/server/factory.py        |    0
 src/libs/xpcom18a4/python/server/loader.py         |    0
 src/libs/xpcom18a4/python/server/module.py         |    0
 src/libs/xpcom18a4/python/server/policy.py         |    0
 .../xpcom18a4/python/test/pyxpcom_test_tools.py    |    0
 .../xpcom18a4/python/test/test_com_exceptions.py   |    0
 src/libs/xpcom18a4/python/test/test_comfile.py     |    0
 .../test/test_component/py_test_component.py       |    0
 src/libs/xpcom18a4/python/test/test_components.py  |    0
 .../python/test/test_isupports_primitives.py       |    0
 src/libs/xpcom18a4/python/test/test_misc.py        |    0
 src/libs/xpcom18a4/python/test/test_streams.py     |    0
 .../xpcom18a4/python/test/test_test_component.py   |    0
 .../xpcom18a4/python/test/test_weakreferences.py   |    0
 src/libs/xpcom18a4/python/tools/regxpcom.py        |    0
 src/libs/xpcom18a4/python/xpt.py                   |    0
 src/recompiler/Makefile.kmk                        |   10 +
 src/recompiler/VBoxREM.rc                          |   54 +
 702 files changed, 17308 insertions(+), 8695 deletions(-)
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/DD_glc.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/DD_glh.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/NULLfuncs.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/cr_gl.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/entrypoints.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/feedback/feedback.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/feedback/feedback_funcs.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/feedback/feedback_state.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/feedback/feedbackspu_proto.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/getprocaddress.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/pack/pack.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/pack/packspu_beginend.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/pack/packspu_flush.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/pack/packspu_get.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/pack/packspu_proto.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/passthrough/passthrough.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/stub_common.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/tsfuncs.py
 mode change 100644 => 100755 src/VBox/Additions/common/crOpenGL/windows_getprocaddress.py
 delete mode 100644 src/VBox/Additions/x11/VBoxClient/seamless-glue.h
 delete mode 100644 src/VBox/Additions/x11/VBoxClient/seamless-guest.h
 delete mode 100644 src/VBox/Additions/x11/VBoxClient/seamless-host.cpp
 delete mode 100644 src/VBox/Additions/x11/VBoxClient/seamless-host.h
 delete mode 100644 src/VBox/Additions/x11/VBoxClient/thread.cpp
 delete mode 100644 src/VBox/Additions/x11/VBoxClient/thread.h
 create mode 100644 src/VBox/Additions/x11/vboxvideo/README.testing
 create mode 100644 src/VBox/Additions/x11/vboxvideo/getmode.c
 create mode 100644 src/VBox/Additions/x11/vboxvideo/helpers.c
 delete mode 100644 src/VBox/Additions/x11/vboxvideo/testcase/Makefile.kmk
 delete mode 100644 src/VBox/Additions/x11/vboxvideo/testcase/tstSetModeXOrg.c
 delete mode 100644 src/VBox/Additions/x11/vboxvideo/vboxutils.c
 create mode 100644 src/VBox/Debugger/DBGCPlugInDiggers.rc
 create mode 100644 src/VBox/Debugger/VBoxDbg.rc
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/C/PyEfiCompressor/setup.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/C/PyUtility/setup.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/AutoGen/AutoGen.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/AutoGen/BuildEngine.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/AutoGen/GenC.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/AutoGen/GenDepex.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/AutoGen/GenMake.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/AutoGen/StrGather.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/AutoGen/UniClassObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/BPDG/BPDG.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/BPDG/GenVpd.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/BPDG/StringTable.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Common/Database.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Common/DecClassObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Common/Dictionary.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Common/DscClassObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Common/EdkIIWorkspace.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Common/EdkIIWorkspaceBuild.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Common/EdkLogger.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Common/Expression.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Common/FdfClassObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Common/InfClassObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Common/MigrationUtilities.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Common/Misc.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Common/Parsing.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Common/String.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Common/TargetTxtClassObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Common/ToolDefClassObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/CommonDataClass/ModuleClass.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/CommonDataClass/PackageClass.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/CommonDataClass/PlatformClass.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Ecc/CLexer.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Ecc/CParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Ecc/Check.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Ecc/CodeFragmentCollector.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Ecc/Configuration.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Ecc/Database.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Ecc/Ecc.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Ecc/Exception.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Ecc/FileProfile.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Ecc/MetaDataParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Ecc/MetaFileWorkspace/MetaDataTable.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Ecc/MetaFileWorkspace/MetaFileParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Ecc/MetaFileWorkspace/MetaFileTable.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Ecc/Xml/__init__.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Ecc/c.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Eot/CLexer.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Eot/CParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Eot/CodeFragmentCollector.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Eot/Database.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Eot/Eot.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Eot/EotGlobalData.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Eot/FileProfile.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Eot/FvImage.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Eot/InfParserLite.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Eot/Parser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Eot/c.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/AprioriSection.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/Capsule.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/CapsuleData.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/ComponentStatement.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/CompressSection.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/DataSection.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/DepexSection.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/EfiSection.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/Fd.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/FdfParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/Ffs.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/FfsFileStatement.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/FfsInfStatement.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/Fv.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/FvImageSection.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/GenFds.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/GenFdsGlobalVariable.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/GuidSection.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/OptRomFileStatement.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/OptRomInfStatement.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/OptionRom.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/Region.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/Rule.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/RuleComplexFile.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/RuleSimpleFile.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/Section.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/UiSection.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/VerSection.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenFds/Vtf.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/GenPatchPcdTable/GenPatchPcdTable.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/PatchPcdValue/PatchPcdValue.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Table/TableDataModel.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Table/TableDec.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Table/TableDsc.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Table/TableEotReport.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Table/TableFdf.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Table/TableFile.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Table/TableFunction.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Table/TableIdentifier.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Table/TableInf.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Table/TablePcd.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Table/TableQuery.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Table/TableReport.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/TargetTool/TargetTool.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Trim/Trim.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/BuildVersion.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Core/DependencyRules.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Core/DistributionPackageClass.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Core/IpiDb.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Core/PackageFile.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Core/__init__.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/GenMetaFile/GenDecFile.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/GenMetaFile/GenInfFile.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/GenMetaFile/GenMetaFileMisc.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/GenMetaFile/GenXmlFile.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/GenMetaFile/__init__.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/InstallPkg.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Library/CommentGenerating.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Library/CommentParsing.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Library/DataType.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Library/ExpressionValidate.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Library/GlobalData.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Library/Misc.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Library/ParserValidate.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Library/Parsing.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Library/String.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Library/Xml/XmlRoutines.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Library/Xml/__init__.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Library/__init__.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Logger/Log.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Logger/StringTable.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Logger/__init__.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/MkPkg.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/POM/CommonObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/POM/ModuleObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/POM/PackageObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/POM/__init__.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/Parser/DecObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/Parser/InfBinaryObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/Parser/InfBuildOptionObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/Parser/InfDefineCommonObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/Parser/InfDefineObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/Parser/InfDepexObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/Parser/InfGuidObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/Parser/InfLibraryClassesObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/Parser/InfMisc.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/Parser/InfPackagesObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/Parser/InfPcdObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/Parser/InfPpiObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/Parser/InfProtocolObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/Parser/InfSoucesObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/Parser/InfUserExtensionObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/Parser/__init__.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Object/__init__.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Parser/DecParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Parser/DecParserMisc.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Parser/InfAsBuiltProcess.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Parser/InfBinarySectionParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Parser/InfBuildOptionSectionParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Parser/InfDefineSectionParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Parser/InfDepexSectionParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Parser/InfGuidPpiProtocolSectionParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Parser/InfLibrarySectionParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Parser/InfPackageSectionParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Parser/InfParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Parser/InfParserMisc.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Parser/InfPcdSectionParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Parser/InfSectionParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Parser/InfSourceSectionParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Parser/__init__.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/PomAdapter/DecPomAlignment.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/PomAdapter/InfPomAlignment.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/PomAdapter/InfPomAlignmentMisc.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/PomAdapter/__init__.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/RmPkg.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/UPT.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/UnitTest/CommentGeneratingUnitTest.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/UnitTest/CommentParsingUnitTest.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/UnitTest/DecParserTest.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/UnitTest/DecParserUnitTest.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/UnitTest/InfBinarySectionTest.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Xml/CommonXml.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Xml/GuidProtocolPpiXml.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Xml/IniToXml.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Xml/ModuleSurfaceAreaXml.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Xml/PackageSurfaceAreaXml.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Xml/PcdXml.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Xml/XmlParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Xml/XmlParserMisc.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/UPT/Xml/__init__.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Workspace/BuildClassObject.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Workspace/MetaDataTable.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Workspace/MetaFileParser.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Workspace/MetaFileTable.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/Workspace/WorkspaceDatabase.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/build/BuildReport.py
 mode change 100644 => 100755 src/VBox/Devices/EFI/Firmware/BaseTools/Source/Python/build/build.py
 create mode 100644 src/VBox/Devices/Graphics/VBoxSVGA3D.def
 create mode 100644 src/VBox/Devices/Graphics/VBoxSVGA3D.rc
 create mode 100644 src/VBox/Devices/Graphics/VBoxSVGA3DObjC.def
 create mode 100644 src/VBox/Devices/Network/slirp/ip_icmpwin.c
 create mode 100644 src/VBox/Devices/build/VBoxDD.rc
 create mode 100644 src/VBox/Devices/build/VBoxDD2.rc
 create mode 100644 src/VBox/Devices/build/VBoxDDU.rc
 create mode 100644 src/VBox/Frontends/VBoxBalloonCtrl/VBoxBalloonCtrl.rc
 copy src/VBox/Frontends/{VirtualBox/src/platform/win/VirtualBox.rc => VBoxHeadless/VBoxHeadless.rc} (62%)
 create mode 100644 src/VBox/Frontends/VBoxManage/VBoxManage.rc
 copy src/VBox/Frontends/{VirtualBox/src/platform/win/VirtualBox.rc => VBoxSDL/VBoxSDL.rc} (63%)
 create mode 100644 src/VBox/Frontends/VirtualBox/images/os_win10.png
 create mode 100644 src/VBox/Frontends/VirtualBox/images/os_win10_64.png
 create mode 100644 src/VBox/Frontends/VirtualBox/images/os_win81.png
 create mode 100644 src/VBox/Frontends/VirtualBox/images/os_win81_64.png
 create mode 100644 src/VBox/GuestHost/OpenGL/error/VBoxOGLerrorspu.rc
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/error/error.py
 mode change 100755 => 100644 src/VBox/GuestHost/OpenGL/glapi_parser/apiutil.py
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/packer/pack_current.py
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/packer/pack_currentheader.py
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/packer/pack_header.py
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/packer/packer.py
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/packer/packer_bbox.py
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/spu_loader/dispatch.py
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/spu_loader/dispatchheader.py
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/spu_loader/glloader.py
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/spu_loader/spuchange.py
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/spu_loader/spucopy.py
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/state_tracker/convert.py
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/state_tracker/dump_gen.py
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/state_tracker/state_current.py
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/state_tracker/state_defs.py
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/state_tracker/state_funcs.py
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/state_tracker/state_get.py
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/state_tracker/state_isenabled.py
 create mode 100644 src/VBox/GuestHost/OpenGL/util/VBoxOGLcrutil.rc
 mode change 100644 => 100755 src/VBox/GuestHost/OpenGL/util/debug_opcodes.py
 create mode 100644 src/VBox/HostDrivers/Support/win/Certificates/SpcRoot-MicrosoftDevelopmentRootCertificateAuthority2014-078f0a9d03df119e434e4fec1bf0235a.taf
 create mode 100644 src/VBox/HostDrivers/Support/win/VBoxSupLib.rc
 copy src/VBox/HostDrivers/VBoxNetFlt/{win/drv/VBoxNetFlt-win.rc => VBoxNetFlt.rc} (79%)
 create mode 100644 src/VBox/HostServices/DragAndDrop/VBoxDragAndDropSvc.rc
 create mode 100644 src/VBox/HostServices/GuestControl/VBoxGuestControlSvc.rc
 create mode 100644 src/VBox/HostServices/GuestProperties/VBoxGuestPropSvc.rc
 create mode 100644 src/VBox/HostServices/HostChannel/VBoxHostChannel.rc
 create mode 100644 src/VBox/HostServices/SharedClipboard/VBoxSharedClipboard.rc
 create mode 100644 src/VBox/HostServices/SharedFolders/VBoxSharedFolders.rc
 create mode 100644 src/VBox/HostServices/SharedOpenGL/OpenGLTest/VBoxTestOGL.rc
 create mode 100644 src/VBox/HostServices/SharedOpenGL/crserver/VBoxSharedCrOpenGL.rc
 mode change 100644 => 100755 src/VBox/HostServices/SharedOpenGL/crserverlib/server_dispatch.py
 mode change 100644 => 100755 src/VBox/HostServices/SharedOpenGL/crserverlib/server_dispatch_header.py
 mode change 100644 => 100755 src/VBox/HostServices/SharedOpenGL/crserverlib/server_get.py
 mode change 100644 => 100755 src/VBox/HostServices/SharedOpenGL/crserverlib/server_retval.py
 mode change 100644 => 100755 src/VBox/HostServices/SharedOpenGL/crserverlib/server_simpleget.py
 create mode 100644 src/VBox/HostServices/SharedOpenGL/render/VBoxOGLrenderspu.rc
 mode change 100644 => 100755 src/VBox/HostServices/SharedOpenGL/unpacker/unpack.py
 mode change 100644 => 100755 src/VBox/HostServices/SharedOpenGL/unpacker/unpack_extend.py
 mode change 100644 => 100755 src/VBox/HostServices/SharedOpenGL/unpacker/unpack_header.py
 create mode 100644 src/VBox/HostServices/auth/simple/VBoxAuthSimple.rc
 create mode 100644 src/VBox/HostServices/auth/winlogon/VBoxAuth.rc
 mode change 100644 => 100755 src/VBox/Installer/linux/vboxdrv-pardus.py
 create mode 100644 src/VBox/Installer/win/InstallHelper/VBoxInstallHelper.rc
 create mode 100644 src/VBox/Main/cbinding/VBoxCAPI.rc
 mode change 100644 => 100755 src/VBox/Main/glue/vboxapi.py
 create mode 100644 src/VBox/Main/src-client/README.testing
 create mode 100644 src/VBox/Main/src-helper-apps/VBoxExtPackHelperApp.rc
 create mode 100644 src/VBox/Main/webservice/VBoxWebSrv.rc
 create mode 100644 src/VBox/NetworkServices/DHCP/VBoxNetDHCP.rc
 create mode 100644 src/VBox/NetworkServices/NAT/VBoxNetNAT.rc
 create mode 100644 src/VBox/Runtime/r3/win/VBoxRT.rc
 create mode 100644 src/VBox/Storage/testcase/vbox-img.rc
 create mode 100644 src/VBox/VMM/VMMR3/VMMR3.rc
 mode change 100755 => 100644 src/libs/xpcom18a4/nsprpub/Makefile.in
 mode change 100755 => 100644 src/libs/xpcom18a4/nsprpub/config/config.mk
 mode change 100755 => 100644 src/libs/xpcom18a4/nsprpub/config/rules.mk
 mode change 100644 => 100755 src/libs/xpcom18a4/python/client/__init__.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/file.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/primitives.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/server/__init__.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/server/enumerator.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/server/factory.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/server/loader.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/server/module.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/server/policy.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/test/pyxpcom_test_tools.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/test/test_com_exceptions.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/test/test_comfile.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/test/test_component/py_test_component.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/test/test_components.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/test/test_isupports_primitives.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/test/test_misc.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/test/test_streams.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/test/test_test_component.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/test/test_weakreferences.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/tools/regxpcom.py
 mode change 100644 => 100755 src/libs/xpcom18a4/python/xpt.py
 create mode 100644 src/recompiler/VBoxREM.rc

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/pkg-virtualbox/virtualbox.git



More information about the Pkg-virtualbox-commits mailing list