[Python-modules-commits] [myhdl] 01/02: Import Upstream version 0.9.0

Steffen Möller moeller at moszumanska.debian.org
Thu Nov 10 23:23:52 UTC 2016


This is an automated email from the git hooks/post-receive script.

moeller pushed a commit to branch master
in repository myhdl.

commit 26fd89e2a0293ab04907e558652a9972a6338b4d
Author: Steffen Moeller <moeller at debian.org>
Date:   Fri Nov 11 00:22:02 2016 +0100

    Import Upstream version 0.9.0
---
 .gitignore                                         |   31 +
 .hgignore                                          |   33 +
 .hgtags                                            |   41 +
 .landscape.yaml                                    |    5 +
 .travis.yml                                        |   37 +
 CHANGES.txt                                        |   97 +
 LICENSE.txt                                        |  504 +++++
 MANIFEST.in                                        |   29 +
 Makefile                                           |   17 +
 README.md                                          |   72 +
 cosimulation/cver/Makefile.lnx                     |   25 +
 cosimulation/cver/Makefile.lnx64                   |   24 +
 cosimulation/cver/Makefile.osx                     |   21 +
 cosimulation/cver/README.txt                       |   23 +
 cosimulation/cver/myhdl_vpi.c                      |  490 +++++
 cosimulation/cver/test/bin2gray.py                 |   12 +
 cosimulation/cver/test/dff.py                      |   11 +
 cosimulation/cver/test/dff_clkout.py               |   12 +
 cosimulation/cver/test/inc.py                      |   11 +
 cosimulation/cver/test/test_all.py                 |   47 +
 cosimulation/icarus/Makefile                       |   11 +
 cosimulation/icarus/README.txt                     |   26 +
 cosimulation/icarus/myhdl.c                        |  464 ++++
 cosimulation/icarus/myhdl.c.20030518               |  460 ++++
 cosimulation/icarus/myhdl_20030518.c               |  460 ++++
 cosimulation/icarus/myhdl_table.c                  |    8 +
 cosimulation/icarus/test/bin2gray.py               |   14 +
 cosimulation/icarus/test/dff.py                    |   12 +
 cosimulation/icarus/test/dff_clkout.py             |   15 +
 cosimulation/icarus/test/inc.py                    |   12 +
 cosimulation/icarus/test/tb_test.v                 |   32 +
 cosimulation/icarus/test/test.py                   |   34 +
 cosimulation/icarus/test/test_all.py               |   47 +
 cosimulation/modelsim/Makefile                     |   35 +
 cosimulation/modelsim/myhdl_vpi.c                  |  506 +++++
 cosimulation/modelsim/test/bin2gray.py             |   13 +
 cosimulation/modelsim/test/cosim.do                |    2 +
 cosimulation/modelsim/test/dff.py                  |   12 +
 cosimulation/modelsim/test/dff_clkout.py           |   13 +
 cosimulation/modelsim/test/inc.py                  |   12 +
 cosimulation/modelsim/test/test_all.py             |   47 +
 cosimulation/test/bin2gray.py                      |   18 +
 cosimulation/test/dff.py                           |   24 +
 cosimulation/test/dff_clkout.py                    |   18 +
 cosimulation/test/inc.py                           |   23 +
 cosimulation/test/test_all.py                      |   48 +
 cosimulation/test/test_bin2gray.py                 |  112 +
 cosimulation/test/test_dff.py                      |  123 ++
 cosimulation/test/test_inc.py                      |   89 +
 cosimulation/test/verilog/bin2gray.v               |   17 +
 cosimulation/test/verilog/dff.v                    |   18 +
 cosimulation/test/verilog/dff_clkout.v             |   29 +
 cosimulation/test/verilog/dut_bin2gray.v           |   14 +
 cosimulation/test/verilog/dut_dff.v                |   15 +
 cosimulation/test/verilog/dut_dff_clkout.v         |   16 +
 cosimulation/test/verilog/dut_inc.v                |   16 +
 cosimulation/test/verilog/inc.v                    |   28 +
 doc/Makefile                                       |  119 +
 doc/source/_static/default.css                     |  867 ++++++++
 doc/source/_static/myhdl.css                       |  528 +++++
 doc/source/_static/myhdl_logo_header.png           |  Bin 0 -> 36689 bytes
 doc/source/_static/placeholder                     |    0
 doc/source/_static/pygments.css                    |   59 +
 doc/source/_static/sphinxdoc.css                   |  520 +++++
 doc/source/_templates/layout.html                  |   15 +
 doc/source/_templates/placeholder                  |    0
 doc/source/conf.py                                 |  253 +++
 doc/source/index.rst                               |   44 +
 doc/source/manual/background.rst                   |  156 ++
 doc/source/manual/conversion.rst                   |  817 +++++++
 doc/source/manual/conversion_examples.rst          |  921 ++++++++
 doc/source/manual/cosimulation.rst                 |  430 ++++
 doc/source/manual/highlevel.rst                    |  628 ++++++
 doc/source/manual/hwtypes.rst                      |  424 ++++
 doc/source/manual/index.rst                        |   20 +
 doc/source/manual/intro.rst                        |  369 ++++
 doc/source/manual/preface.rst                      |   63 +
 doc/source/manual/reference.rst                    |  894 ++++++++
 doc/source/manual/rtl.rst                          |  546 +++++
 doc/source/manual/structure.rst                    |  196 ++
 doc/source/manual/tbfsm.png                        |  Bin 0 -> 9150 bytes
 doc/source/manual/unittest.rst                     |  358 +++
 doc/source/python3.rst                             |    8 +
 doc/source/whatsnew/0.3.rst                        |  398 ++++
 doc/source/whatsnew/0.4.rst                        |  796 +++++++
 doc/source/whatsnew/0.5.rst                        |  587 +++++
 doc/source/whatsnew/0.6.rst                        |  638 ++++++
 doc/source/whatsnew/0.7.rst                        |  416 ++++
 doc/source/whatsnew/0.8.rst                        |  369 ++++
 doc/source/whatsnew/0.9.rst                        |  114 +
 doc/source/whatsnew/los.png                        |  Bin 0 -> 19214 bytes
 example/arith_lib/Dec.py                           |   40 +
 example/arith_lib/LeadZeroDet.py                   |   54 +
 example/arith_lib/PrefixAnd.py                     |   50 +
 example/arith_lib/README.txt                       |   17 +
 example/arith_lib/__init__.py                      |    0
 example/arith_lib/arith_utils.py                   |   12 +
 example/arith_lib/test_Dec.py                      |   69 +
 example/arith_lib/test_LeadZeroDet.py              |   69 +
 example/cookbook/README.txt                        |    4 +
 example/cookbook/bitonic/bitonic.py                |   82 +
 example/cookbook/bitonic/test_bitonic.py           |   40 +
 example/cookbook/dff/dff.py                        |   45 +
 example/cookbook/dff/test_dff.jpg                  |  Bin 0 -> 43147 bytes
 example/cookbook/dffa/dffa.py                      |   59 +
 example/cookbook/dffa/test_dffa.jpg                |  Bin 0 -> 45348 bytes
 example/cookbook/johnson/jc2.py                    |   55 +
 example/cookbook/johnson/jc2_alt.py                |   56 +
 example/cookbook/johnson/test_jc2.py               |   64 +
 example/cookbook/latch/latch.py                    |   48 +
 example/cookbook/latch/test_latch.jpg              |  Bin 0 -> 41640 bytes
 example/cookbook/sinecomp/SineComputer.py          |  118 +
 example/cookbook/sinecomp/test_SineComputer.py     |   82 +
 example/cookbook/stopwatch/StopWatch.py            |   43 +
 example/cookbook/stopwatch/TimeCount.py            |   57 +
 example/cookbook/stopwatch/bcd2led.py              |   36 +
 example/cookbook/stopwatch/seven_segment.py        |   20 +
 example/cookbook/stopwatch/test_TimeCount.py       |   82 +
 example/cookbook/stopwatch/test_bcd2led.py         |   39 +
 example/manual/FramerCtrl.v                        |   78 +
 example/manual/FramerCtrl.vhd                      |   89 +
 example/manual/GrayInc.py                          |   58 +
 example/manual/GrayIncReg.v                        |   56 +
 example/manual/GrayIncReg.vhd                      |   63 +
 example/manual/Inc.v                               |   44 +
 example/manual/Inc.vhd                             |   48 +
 example/manual/Makefile                            |    5 +
 example/manual/bin2gray.py                         |   43 +
 example/manual/bin2gray.v                          |   37 +
 example/manual/bin2gray.vhd                        |   43 +
 example/manual/bin2gray2.py                        |   33 +
 example/manual/custom.py                           |   52 +
 example/manual/fifo.py                             |  141 ++
 example/manual/fsm.py                              |   91 +
 example/manual/fsm2.py                             |   99 +
 example/manual/fsm3.py                             |   70 +
 example/manual/greetings.py                        |   48 +
 example/manual/hec.py                              |   30 +
 example/manual/hello1.py                           |   23 +
 example/manual/hello2.py                           |   32 +
 example/manual/inc.py                              |   91 +
 example/manual/inc_comb.v                          |   26 +
 example/manual/inc_comb.vhd                        |   32 +
 example/manual/mux.py                              |   34 +
 example/manual/mux2.py                             |   42 +
 example/manual/pck_myhdl_07.vhd                    |  141 ++
 example/manual/queue.py                            |   49 +
 example/manual/ram.py                              |   33 +
 example/manual/ram.vhd                             |   47 +
 example/manual/ram_1.v                             |   40 +
 example/manual/rom.py                              |   23 +
 example/manual/rom.v                               |   32 +
 example/manual/rom.vhd                             |   39 +
 example/manual/rs232.py                            |  123 ++
 example/manual/run_all.py                          |   30 +
 example/manual/shadow.py                           |   56 +
 example/manual/sparseMemory.py                     |  134 ++
 example/manual/tb_FramerCtrl.v                     |   29 +
 example/manual/tb_GrayIncReg.v                     |   26 +
 example/manual/tb_Inc.v                            |   26 +
 example/manual/tb_bin2gray.v                       |   20 +
 example/manual/tb_inc_comb.v                       |   20 +
 example/manual/tb_ram_1.v                          |   29 +
 example/manual/tb_rom.v                            |   20 +
 example/manual/test_gray.py                        |  116 +
 example/rs232/README.txt                           |   12 +
 example/rs232/rs232_rx.py                          |   41 +
 example/rs232/rs232_tx.py                          |   47 +
 example/rs232/rs232_util.py                        |   52 +
 example/rs232/test_rs232.py                        |  146 ++
 example/uart_tx/uart_tx.py                         |  110 +
 myhdl/_Cosimulation.py                             |  188 ++
 myhdl/_ShadowSignal.py                             |  337 +++
 myhdl/_Signal.py                                   |  626 ++++++
 myhdl/_Simulation.py                               |  234 ++
 myhdl/_Waiter.py                                   |  294 +++
 myhdl/__init__.py                                  |  176 ++
 myhdl/_always.py                                   |  107 +
 myhdl/_always_comb.py                              |  237 ++
 myhdl/_always_seq.py                               |  265 +++
 myhdl/_bin.py                                      |   57 +
 myhdl/_cell_deref.py                               |   22 +
 myhdl/_compat.py                                   |   33 +
 myhdl/_concat.py                                   |   85 +
 myhdl/_delay.py                                    |   40 +
 myhdl/_enum.py                                     |  175 ++
 myhdl/_extractHierarchy.py                         |  372 ++++
 myhdl/_instance.py                                 |   51 +
 myhdl/_intbv.py                                    |  523 +++++
 myhdl/_join.py                                     |   38 +
 myhdl/_misc.py                                     |   60 +
 myhdl/_modbv.py                                    |   63 +
 myhdl/_resolverefs.py                              |   78 +
 myhdl/_simulator.py                                |   38 +
 myhdl/_traceSignals.py                             |  220 ++
 myhdl/_tristate.py                                 |   77 +
 myhdl/_util.py                                     |   93 +
 myhdl/conversion/__init__.py                       |   11 +
 myhdl/conversion/_analyze.py                       | 1308 +++++++++++
 myhdl/conversion/_misc.py                          |  218 ++
 myhdl/conversion/_toVHDL.py                        | 2295 ++++++++++++++++++++
 myhdl/conversion/_toVHDLPackage.py                 |  162 ++
 myhdl/conversion/_toVerilog.py                     | 1569 +++++++++++++
 myhdl/conversion/_verify.py                        |  229 ++
 myhdl/experiments/_simrunc.c                       |  242 +++
 myhdl/spec/Signal_spec.txt                         |  124 ++
 myhdl/spec/Simulation_spec.txt                     |   86 +
 myhdl/spec/intbv_spec.txt                          |   83 +
 myhdl/test/README.txt                              |   25 +
 myhdl/test/__init__.py                             |    1 +
 myhdl/test/bugs/GHDL.py                            |    6 +
 myhdl/test/bugs/Makefile                           |   21 +
 myhdl/test/bugs/README.txt                         |    5 +
 myhdl/test/bugs/cver.py                            |    4 +
 myhdl/test/bugs/icarus.py                          |    6 +
 myhdl/test/bugs/test_bug_1740778.py                |   36 +
 myhdl/test/bugs/test_bug_1835792.py                |   32 +
 myhdl/test/bugs/test_bug_1835797.py                |   33 +
 myhdl/test/bugs/test_bug_1837003.py                |   32 +
 myhdl/test/bugs/test_bug_28.py                     |   17 +
 myhdl/test/bugs/test_bug_3529686.py                |   26 +
 myhdl/test/bugs/test_bug_3577799.py                |   36 +
 myhdl/test/bugs/test_bug_39.py                     |   36 +
 myhdl/test/bugs/test_bug_42.py                     |   23 +
 myhdl/test/bugs/test_bug_42_2.py                   |   25 +
 myhdl/test/bugs/test_bug_43.py                     |   25 +
 myhdl/test/bugs/test_bug_44.py                     |   41 +
 myhdl/test/bugs/test_bug_aj1s.py                   |   25 +
 myhdl/test/bugs/test_bug_boolconst.py              |   39 +
 myhdl/test/bugs/test_bug_boolop.py                 |   39 +
 myhdl/test/bugs/test_bug_enum_toVHDL.py            |   32 +
 myhdl/test/bugs/test_bug_enum_toVHDL_2.py          |   32 +
 myhdl/test/bugs/test_issue_10.py                   |   25 +
 myhdl/test/bugs/test_issue_10_2.py                 |   41 +
 myhdl/test/bugs/test_issue_13.py                   |   42 +
 myhdl/test/bugs/test_issue_18.py                   |   31 +
 myhdl/test/bugs/test_issue_40.py                   |   32 +
 myhdl/test/bugs/test_issue_9.py                    |   15 +
 myhdl/test/bugs/test_issue_98.py                   |   40 +
 myhdl/test/bugs/vcom.py                            |    4 +
 myhdl/test/bugs/vlog.py                            |    4 +
 myhdl/test/conversion/Makefile                     |   15 +
 myhdl/test/conversion/__init__.py                  |    0
 myhdl/test/conversion/conftest.py                  |   20 +
 myhdl/test/conversion/general/GHDL.py              |    4 +
 myhdl/test/conversion/general/Makefile             |   19 +
 myhdl/test/conversion/general/README.txt           |    8 +
 myhdl/test/conversion/general/cver.py              |    4 +
 myhdl/test/conversion/general/icarus.py            |    4 +
 myhdl/test/conversion/general/test_ShadowSignal.py |  182 ++
 myhdl/test/conversion/general/test_adapter.py      |   68 +
 myhdl/test/conversion/general/test_bin2gray.py     |   74 +
 myhdl/test/conversion/general/test_case.py         |   89 +
 myhdl/test/conversion/general/test_constants.py    |   28 +
 myhdl/test/conversion/general/test_dec.py          |  229 ++
 myhdl/test/conversion/general/test_errors.py       |   63 +
 myhdl/test/conversion/general/test_fsm.py          |  114 +
 myhdl/test/conversion/general/test_hec.py          |  163 ++
 myhdl/test/conversion/general/test_inc.py          |  180 ++
 myhdl/test/conversion/general/test_intbv_signed.py |  257 +++
 myhdl/test/conversion/general/test_interfaces1.py  |  124 ++
 myhdl/test/conversion/general/test_interfaces2.py  |  124 ++
 myhdl/test/conversion/general/test_interfaces3.py  |  209 ++
 myhdl/test/conversion/general/test_interfaces4.py  |  174 ++
 myhdl/test/conversion/general/test_listofsigs.py   |  355 +++
 myhdl/test/conversion/general/test_loops.py        |  362 +++
 myhdl/test/conversion/general/test_method.py       |  166 ++
 myhdl/test/conversion/general/test_nonlocal.py     |   64 +
 myhdl/test/conversion/general/test_numass.py       |   53 +
 myhdl/test/conversion/general/test_print.py        |  153 ++
 myhdl/test/conversion/general/test_ram.py          |  148 ++
 myhdl/test/conversion/general/test_rom.py          |  103 +
 myhdl/test/conversion/general/test_set_dir.py      |  122 ++
 myhdl/test/conversion/general/test_ternary.py      |   74 +
 .../conversion/general/test_toplevel_method.py     |  130 ++
 myhdl/test/conversion/general/vcom.py              |    4 +
 myhdl/test/conversion/general/vlog.py              |    4 +
 myhdl/test/conversion/toVHDL/GHDL.py               |    4 +
 myhdl/test/conversion/toVHDL/Makefile              |   10 +
 myhdl/test/conversion/toVHDL/README.txt            |    7 +
 myhdl/test/conversion/toVHDL/test_custom.py        |  288 +++
 myhdl/test/conversion/toVHDL/test_enum.py          |   67 +
 myhdl/test/conversion/toVHDL/test_loops.py         |   51 +
 myhdl/test/conversion/toVHDL/test_newcustom.py     |  288 +++
 myhdl/test/conversion/toVHDL/test_ops.py           |  472 ++++
 myhdl/test/conversion/toVHDL/test_signed.py        |  508 +++++
 myhdl/test/conversion/toVHDL/vcom.py               |    4 +
 myhdl/test/conversion/toVerilog/Makefile           |    5 +
 myhdl/test/conversion/toVerilog/README.txt         |   11 +
 myhdl/test/conversion/toVerilog/test_GrayInc.py    |  111 +
 .../test/conversion/toVerilog/test_NotSupported.py |  401 ++++
 .../conversion/toVerilog/test_RandomScrambler.py   |  150 ++
 .../test/conversion/toVerilog/test_always_comb.py  |  145 ++
 myhdl/test/conversion/toVerilog/test_beh.py        |  115 +
 myhdl/test/conversion/toVerilog/test_bin2gray.py   |   98 +
 myhdl/test/conversion/toVerilog/test_bugreports.py |  117 +
 myhdl/test/conversion/toVerilog/test_custom.py     |  312 +++
 myhdl/test/conversion/toVerilog/test_dec.py        |  231 ++
 myhdl/test/conversion/toVerilog/test_edge.py       |  143 ++
 myhdl/test/conversion/toVerilog/test_errors.py     |  559 +++++
 myhdl/test/conversion/toVerilog/test_fsm.py        |  231 ++
 myhdl/test/conversion/toVerilog/test_hec.py        |  179 ++
 myhdl/test/conversion/toVerilog/test_inc.py        |  210 ++
 .../test/conversion/toVerilog/test_inc_initial.py  |  123 ++
 myhdl/test/conversion/toVerilog/test_infer.py      |  332 +++
 myhdl/test/conversion/toVerilog/test_loops.py      |  354 +++
 myhdl/test/conversion/toVerilog/test_misc.py       |  158 ++
 myhdl/test/conversion/toVerilog/test_newcustom.py  |  312 +++
 myhdl/test/conversion/toVerilog/test_ops.py        |  573 +++++
 myhdl/test/conversion/toVerilog/test_ram.py        |  170 ++
 myhdl/test/conversion/toVerilog/test_rom.py        |  115 +
 myhdl/test/conversion/toVerilog/test_signed.py     |  597 +++++
 myhdl/test/conversion/toVerilog/test_tristate.py   |   90 +
 myhdl/test/conversion/toVerilog/util.py            |   43 +
 myhdl/test/conversion/toVerilog2/Makefile          |   13 +
 myhdl/test/conversion/toVerilog2/README.txt        |    8 +
 myhdl/test/conversion/toVerilog2/cver.py           |    4 +
 myhdl/test/conversion/toVerilog2/icarus.py         |    4 +
 myhdl/test/conversion/toVerilog2/test_loops.py     |   50 +
 myhdl/test/conversion/toVerilog2/vcom.py           |    4 +
 myhdl/test/conversion/toVerilog2/vlog.py           |    4 +
 myhdl/test/core/Makefile                           |    5 +
 myhdl/test/core/test_Cosimulation.py               |  272 +++
 myhdl/test/core/test_ShadowSignal.py               |  140 ++
 myhdl/test/core/test_Signal.py                     |  582 +++++
 myhdl/test/core/test_Simulation.py                 |  880 ++++++++
 myhdl/test/core/test_always.py                     |  181 ++
 myhdl/test/core/test_always_comb.py                |  405 ++++
 myhdl/test/core/test_always_seq.py                 |   48 +
 myhdl/test/core/test_bin.py                        |   98 +
 myhdl/test/core/test_concat.py                     |  241 ++
 myhdl/test/core/test_enum.py                       |   64 +
 myhdl/test/core/test_inferWaiter.py                |  209 ++
 myhdl/test/core/test_instance.py                   |   57 +
 myhdl/test/core/test_intbv.py                      |  566 +++++
 myhdl/test/core/test_misc.py                       |   74 +
 myhdl/test/core/test_modbv.py                      |   67 +
 myhdl/test/core/test_signed.py                     |  255 +++
 myhdl/test/core/test_traceSignals.py               |  175 ++
 myhdl/test/core/utils.py                           |   10 +
 scripts/benchmark/Makefile                         |    3 +
 scripts/benchmark/convert.py                       |   24 +
 scripts/benchmark/cvcrun.sh                        |   35 +
 scripts/benchmark/cvcstats.dat                     |   71 +
 scripts/benchmark/glibc_random.py                  |    8 +
 scripts/benchmark/jitrun.sh                        |   36 +
 scripts/benchmark/jitstats.dat                     |  141 ++
 scripts/benchmark/lfsr24.py                        |   17 +
 scripts/benchmark/long_divider.py                  |   89 +
 scripts/benchmark/perf_inferWaiter.py              |   71 +
 scripts/benchmark/pypyrun.sh                       |   28 +
 scripts/benchmark/pypystats.dat                    |    9 +
 scripts/benchmark/random_generator.py              |   58 +
 scripts/benchmark/run.do                           |    2 +
 scripts/benchmark/run.sh                           |   62 +
 scripts/benchmark/run_rand.sh                      |   41 +
 scripts/benchmark/stats.dat                        |  191 ++
 scripts/benchmark/test_findmax.py                  |   82 +
 scripts/benchmark/test_findmax_sigs.py             |  182 ++
 scripts/benchmark/test_lfsr24.py                   |   42 +
 scripts/benchmark/test_longdiv.py                  |   83 +
 scripts/benchmark/test_longdiv_10.py               |    9 +
 scripts/benchmark/test_longdiv_11.py               |    9 +
 scripts/benchmark/test_longdiv_12.py               |    9 +
 scripts/benchmark/test_longdiv_13.py               |    9 +
 scripts/benchmark/test_longdiv_14.py               |    9 +
 scripts/benchmark/test_longdiv_15.py               |    9 +
 scripts/benchmark/test_longdiv_16.py               |    9 +
 scripts/benchmark/test_longdiv_17.py               |    9 +
 scripts/benchmark/test_longdiv_18.py               |    9 +
 scripts/benchmark/test_longdiv_9.py                |    9 +
 scripts/benchmark/test_randgen.py                  |   37 +
 scripts/benchmark/test_timer.py                    |   49 +
 scripts/benchmark/test_timer_array.py              |   65 +
 scripts/benchmark/testrun.sh                       |   58 +
 scripts/benchmark/teststats.dat                    |   33 +
 scripts/benchmark/timer.py                         |   42 +
 scripts/ci.sh                                      |   37 +
 setup.cfg                                          |    2 +
 setup.py                                           |   62 +
 tox.ini                                            |   12 +
 381 files changed, 49327 insertions(+)

diff --git a/.gitignore b/.gitignore
new file mode 100644
index 0000000..62e3790
--- /dev/null
+++ b/.gitignore
@@ -0,0 +1,31 @@
+# General
+*~
+*.swp
+*.out
+build/
+
+# Python
+*.py[cod]
+__pycache__/
+*egg-info/
+dist/
+.tox
+
+# Cosim
+*.o
+*.vpi
+*.so
+
+# Simulator generated files
+*.vcd
+modelsim.ini
+transcript
+*.log
+work/
+work_vlog/
+work_vcom/
+*.wlf
+
+# Test artifacts
+myhdl/**/*.v
+myhdl/**/*.vhd
diff --git a/.hgignore b/.hgignore
new file mode 100644
index 0000000..36e04c6
--- /dev/null
+++ b/.hgignore
@@ -0,0 +1,33 @@
+syntax: glob
+.project
+.pydevproject
+CHANGELOG.txt
+MANIFEST
+modelsim.ini
+transcript
+*~
+*.pyc
+*.swp
+*.v
+*.vhd
+*_ghdl
+*.o
+*.so
+*.log
+*.cf
+*.vpi
+*.orig
+*.vcd
+*.0
+*.bak
+*.wlf
+*.rej
+*.out
+doc/build
+build/
+dist/
+old_conversion/
+work/
+work_vlog/
+work_vcom/
+*egg-info/
diff --git a/.hgtags b/.hgtags
new file mode 100644
index 0000000..32fcf8a
--- /dev/null
+++ b/.hgtags
@@ -0,0 +1,41 @@
+01ae44dd12e14ead938025d3f462a3cfdc220191 rel-0-4-1
+01ae44dd12e14ead938025d3f462a3cfdc220191 rel_0-4-1
+042b08c4a3cd7a08f5324990919fe19d862ead10 rel_0-6dev5
+0a64f9387dd6ba341c9c01fb02282c7a05cb8de3 rel_0-5b1
+0a9c1e60c542071deca8962aebf41812caa19cfd rel_0-5c1
+18a5c6e04f6d147415aa15f834ecefee9b7fb260 rel_0-6dev4
+18bd2f66222f9f32f82c53eff1d741157659c463 rel_0-3
+2dbf142564387579cb1d87836ee4074915338166 rel_0-5dev2
+3990d24e17e10de97a280eef64dc0131ad4866d3 rel_0-5
+4564bba709ff38812ab1a83043dee7e3c1ea157a rel_0-5dev4
+4e4baba6b59c32c1996df0e9358ecd65f155fa66 rel_0-1
+4ed19bc3797263463b7a5828a768ffb6d62fa65d rel_0-5-1
+50d0bfef3515b9b202bb5a3e88f5fab42a17c463 rel_0-2
+54cb9498e9d4eea3675c559d874523d44dff29aa rel_0-5dev5
+774ccdc8418b7f1bd07ffd419c43cc1090832b58 rel_0-4
+7d5da2f750e7224e36d5e19d76bd66a6c28026c4 rel_0-6dev6
+88a10384aecd4f48200f46b5cc0a324353500295 start
+8945deac3a13fb77891eacaff80678bc5b4ecb0a TO_SVN
+8945deac3a13fb77891eacaff80678bc5b4ecb0a rel_0-5-1dev1
+b3154bb08e673d9bbd7c53abbfad6eb8853158e1 rel_0-5dev1
+c74e985279e1d1cc719edf4efaf2da32da3a99e9 rel_0-5dev3
+cb299ed9ac827c2cf594c83cec0a3093d46429eb alpha
+d4b7ac64ae3387637d688b05a68d007cdfef572b rel_0-5a1
+e061a57835c1763995b1b1cf873367d876455647 rel_0-6dev2
+c5db34e3d223b3ece00e62c192cc1ffaabd4e3a1 rel_0-6dev9
+0000000000000000000000000000000000000000 rel_0-6dev9
+bc1ecbdfa56dad48003743e666c472ca66b86f0f rel_0-6dev9
+5e746c91ea7a47fae2a956a232e85827aac5daba rel_0-6dev10
+0000000000000000000000000000000000000000 rel_0-6dev10
+15b58c07d987f8a450d0cf3f02d328daa236c63a rel_0-6dev10
+9e45b91ac223c8d26f145f7859a51edc266b903d rel_0-6
+9e45b91ac223c8d26f145f7859a51edc266b903d 0.6
+5e442df5265c924787a7616018ebd9270e3a39df 0.7
+2273fc486116a7f3b251446db87de4648bdd2a61 0.7-final
+25296ea8db6ea3d6e6bf431ca41ea81937d1d5d0 pypy-1.5
+0f922ef8753e6e2d26bc13dae55820aa40536ca4 pypy-1.6
+a6ef9dc5d7c58c6081d00ffbc87d6fbe07f2130f pypy-1.7
+0513735d2cb5583668d58289a617c3aa71401c28 pypy-1.8
+2421272373d81ab3aa13a65d0f3d3fc7a783288c pypy-1.9
+41f6e9fdcb55ab8196a954906d8de1a09fac02fd 0.8
+0f5597633e99138f613d1d613a215fb4652345ac 0.8.1
diff --git a/.landscape.yaml b/.landscape.yaml
new file mode 100644
index 0000000..07ab140
--- /dev/null
+++ b/.landscape.yaml
@@ -0,0 +1,5 @@
+doc-warnings: yes
+test-warnings: yes
+strictness: veryhigh
+max-line-length: 100
+autodetect: yes
diff --git a/.travis.yml b/.travis.yml
new file mode 100644
index 0000000..f525fcc
--- /dev/null
+++ b/.travis.yml
@@ -0,0 +1,37 @@
+# http://docs.travis-ci.com/user/workers/container-based-infrastructure/
+sudo: false
+
+language: python
+python:
+  - "2.6"
+  - "2.7"
+  - "pypy"
+  - "3.4"
+
+addons:
+  apt:
+    sources:
+      - pgavin-ghdl
+    packages:
+      - iverilog
+      - ghdl
+
+install:
+  - pip install .
+
+env:
+  - CI_TARGET=core
+  - CI_TARGET=icarus
+  - CI_TARGET=ghdl
+
+matrix:
+  allow_failures:
+    - python: "3.4"
+      env: CI_TARGET=icarus
+    - python: "3.4"
+      env: CI_TARGET=ghdl
+
+script: ./scripts/ci.sh
+
+notifications:
+  email: false
diff --git a/CHANGES.txt b/CHANGES.txt
new file mode 100644
index 0000000..67d6108
--- /dev/null
+++ b/CHANGES.txt
@@ -0,0 +1,97 @@
+Release 0.9.0 11-Jul-2015 
+-------------------------
+
+Full details about new features and changes can be found here:
+
+    http://docs.myhdl.org/en/latest/whatsnew/0.9.html
+
+Release 0.8.1 26-Aug-2014 
+-------------------------
+
+Maintenance release for 0.8.
+
+Release 0.8 20-May-2013 
+-----------------------
+
+Full details about new features and changes can be found here:
+
+    http://docs.myhdl.org/en/latest/whatsnew/0.8.html
+
+Release 0.7 24-Dec-2010
+-----------------------
+
+Full details about new features and changes can be found here:
+
+    http://docs.myhdl.org/en/latest/whatsnew/0.7.html
+
+Release 0.6 9-Jan-2009
+-----------------------
+
+Full details about new features and changes can be found here:
+
+    http://docs.myhdl.org/en/latest/whatsnew/0.6.html
+
+Release 0.5.1 1-May-2006 
+-------------------------
+
+Maintenance release for 0.5.
+
+See the bug tracker for fixed issues.
+
+Release 0.5 29-Dec-2005
+-----------------------
+
+Full details about new features and changes can be found here:
+
+    http://old.myhdl.org/doku.php/whatsnew:0.5
+
+Release 0.4.1 29-Dec-2004
+-------------------------
+
+* Maintenance release that solves most outstanding issues
+  and implements some feature requests.
+  See the SourceForge Bug and RFE Trackers for details.
+  (Use the group 'MyHDL 0.4' to find the relevant issues.)
+  More info can also be found on the mailing list.
+
+* Added cosimulation support for the cver Verilog simulator.
+
+Note: the documentation was not modified in this release.
+
+
+Release 0.4 4-Feb-2004
+----------------------
+
+* Conversion to Verilog to provide a path to implementation
+
+For full details about the changes, consult:
+
+    http://old.myhdl.org/doc/0.4/whatsnew04/whatsnew04.html
+
+Release 0.3 30-Aug-2003
+-----------------------
+
+* VCD output for waveform viewing
+* Enumeration types support
+* Inferring the sensitivity list for combinatorial logic
+* Inferring the list of instances
+* Inferring the list of processes
+* Class intbv enhancements
+* Function concat()
+* Python 2.3 support
+
+For full details about the changes, consult:
+
+    http://old.myhdl.org/doc/0.3/whatsnew03/whatsnew03.html
+
+
+Release 0.2 19-May-2003
+-----------------------
+* Added cosimulation support to MyHDL.
+  
+A PLI interface module to the Icarus Verilog simulator is included.
+
+
+Release 0.1 7-Mar-2003
+----------------------
+* Initial public release
diff --git a/LICENSE.txt b/LICENSE.txt
new file mode 100644
index 0000000..b1e3f5a
--- /dev/null
+++ b/LICENSE.txt
@@ -0,0 +1,504 @@
+		  GNU LESSER GENERAL PUBLIC LICENSE
+		       Version 2.1, February 1999
+
+ Copyright (C) 1991, 1999 Free Software Foundation, Inc.
+     59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
+ Everyone is permitted to copy and distribute verbatim copies
+ of this license document, but changing it is not allowed.
+
+[This is the first released version of the Lesser GPL.  It also counts
+ as the successor of the GNU Library Public License, version 2, hence
+ the version number 2.1.]
+
+			    Preamble
+
+  The licenses for most software are designed to take away your
+freedom to share and change it.  By contrast, the GNU General Public
+Licenses are intended to guarantee your freedom to share and change
+free software--to make sure the software is free for all its users.
+
+  This license, the Lesser General Public License, applies to some
+specially designated software packages--typically libraries--of the
+Free Software Foundation and other authors who decide to use it.  You
+can use it too, but we suggest you first think carefully about whether
+this license or the ordinary General Public License is the better
+strategy to use in any particular case, based on the explanations below.
+
+  When we speak of free software, we are referring to freedom of use,
+not price.  Our General Public Licenses are designed to make sure that
+you have the freedom to distribute copies of free software (and charge
+for this service if you wish); that you receive source code or can get
+it if you want it; that you can change the software and use pieces of
+it in new free programs; and that you are informed that you can do
+these things.
+
+  To protect your rights, we need to make restrictions that forbid
+distributors to deny you these rights or to ask you to surrender these
+rights.  These restrictions translate to certain responsibilities for
+you if you distribute copies of the library or if you modify it.
+
+  For example, if you distribute copies of the library, whether gratis
+or for a fee, you must give the recipients all the rights that we gave
+you.  You must make sure that they, too, receive or can get the source
+code.  If you link other code with the library, you must provide
+complete object files to the recipients, so that they can relink them
+with the library after making changes to the library and recompiling
+it.  And you must show them these terms so they know their rights.
+
+  We protect your rights with a two-step method: (1) we copyright the
+library, and (2) we offer you this license, which gives you legal
+permission to copy, distribute and/or modify the library.
+
+  To protect each distributor, we want to make it very clear that
+there is no warranty for the free library.  Also, if the library is
+modified by someone else and passed on, the recipients should know
+that what they have is not the original version, so that the original
+author's reputation will not be affected by problems that might be
+introduced by others.
+

+  Finally, software patents pose a constant threat to the existence of
+any free program.  We wish to make sure that a company cannot
+effectively restrict the users of a free program by obtaining a
+restrictive license from a patent holder.  Therefore, we insist that
+any patent license obtained for a version of the library must be
+consistent with the full freedom of use specified in this license.
+
+  Most GNU software, including some libraries, is covered by the
+ordinary GNU General Public License.  This license, the GNU Lesser
+General Public License, applies to certain designated libraries, and
+is quite different from the ordinary General Public License.  We use
+this license for certain libraries in order to permit linking those
+libraries into non-free programs.
+
+  When a program is linked with a library, whether statically or using
+a shared library, the combination of the two is legally speaking a
+combined work, a derivative of the original library.  The ordinary
+General Public License therefore permits such linking only if the
+entire combination fits its criteria of freedom.  The Lesser General
+Public License permits more lax criteria for linking other code with
+the library.
+
+  We call this license the "Lesser" General Public License because it
+does Less to protect the user's freedom than the ordinary General
+Public License.  It also provides other free software developers Less
+of an advantage over competing non-free programs.  These disadvantages
+are the reason we use the ordinary General Public License for many
+libraries.  However, the Lesser license provides advantages in certain
+special circumstances.
+
+  For example, on rare occasions, there may be a special need to
+encourage the widest possible use of a certain library, so that it becomes
+a de-facto standard.  To achieve this, non-free programs must be
+allowed to use the library.  A more frequent case is that a free
+library does the same job as widely used non-free libraries.  In this
+case, there is little to gain by limiting the free library to free
+software only, so we use the Lesser General Public License.
+
+  In other cases, permission to use a particular library in non-free
+programs enables a greater number of people to use a large body of
+free software.  For example, permission to use the GNU C Library in
+non-free programs enables many more people to use the whole GNU
+operating system, as well as its variant, the GNU/Linux operating
+system.
+
+  Although the Lesser General Public License is Less protective of the
+users' freedom, it does ensure that the user of a program that is
+linked with the Library has the freedom and the wherewithal to run
+that program using a modified version of the Library.
+
+  The precise terms and conditions for copying, distribution and
+modification follow.  Pay close attention to the difference between a
+"work based on the library" and a "work that uses the library".  The
+former contains code derived from the library, whereas the latter must
+be combined with the library in order to run.
+

+		  GNU LESSER GENERAL PUBLIC LICENSE
+   TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION
+
+  0. This License Agreement applies to any software library or other
+program which contains a notice placed by the copyright holder or
+other authorized party saying it may be distributed under the terms of
+this Lesser General Public License (also called "this License").
+Each licensee is addressed as "you".
+
+  A "library" means a collection of software functions and/or data
+prepared so as to be conveniently linked with application programs
+(which use some of those functions and data) to form executables.
+
+  The "Library", below, refers to any such software library or work
+which has been distributed under these terms.  A "work based on the
+Library" means either the Library or any derivative work under
+copyright law: that is to say, a work containing the Library or a
+portion of it, either verbatim or with modifications and/or translated
+straightforwardly into another language.  (Hereinafter, translation is
+included without limitation in the term "modification".)
+
+  "Source code" for a work means the preferred form of the work for
+making modifications to it.  For a library, complete source code means
+all the source code for all modules it contains, plus any associated
+interface definition files, plus the scripts used to control compilation
+and installation of the library.
+
+  Activities other than copying, distribution and modification are not
+covered by this License; they are outside its scope.  The act of
+running a program using the Library is not restricted, and output from
+such a program is covered only if its contents constitute a work based
+on the Library (independent of the use of the Library in a tool for
+writing it).  Whether that is true depends on what the Library does
+and what the program that uses the Library does.
+  
+  1. You may copy and distribute verbatim copies of the Library's
+complete source code as you receive it, in any medium, provided that
+you conspicuously and appropriately publish on each copy an
+appropriate copyright notice and disclaimer of warranty; keep intact
+all the notices that refer to this License and to the absence of any
+warranty; and distribute a copy of this License along with the
+Library.
+
+  You may charge a fee for the physical act of transferring a copy,
+and you may at your option offer warranty protection in exchange for a
+fee.
+

+  2. You may modify your copy or copies of the Library or any portion
+of it, thus forming a work based on the Library, and copy and
+distribute such modifications or work under the terms of Section 1
+above, provided that you also meet all of these conditions:
+
+    a) The modified work must itself be a software library.
+
+    b) You must cause the files modified to carry prominent notices
+    stating that you changed the files and the date of any change.
+
+    c) You must cause the whole of the work to be licensed at no
+    charge to all third parties under the terms of this License.
+
+    d) If a facility in the modified Library refers to a function or a
+    table of data to be supplied by an application program that uses
+    the facility, other than as an argument passed when the facility
+    is invoked, then you must make a good faith effort to ensure that,
+    in the event an application does not supply such function or
+    table, the facility still operates, and performs whatever part of
+    its purpose remains meaningful.
+
+    (For example, a function in a library to compute square roots has
+    a purpose that is entirely well-defined independent of the
+    application.  Therefore, Subsection 2d requires that any
+    application-supplied function or table used by this function must
+    be optional: if the application does not supply it, the square
+    root function must still compute square roots.)
+
+These requirements apply to the modified work as a whole.  If
+identifiable sections of that work are not derived from the Library,
+and can be reasonably considered independent and separate works in
+themselves, then this License, and its terms, do not apply to those
+sections when you distribute them as separate works.  But when you
+distribute the same sections as part of a whole which is a work based
+on the Library, the distribution of the whole must be on the terms of
+this License, whose permissions for other licensees extend to the
+entire whole, and thus to each and every part regardless of who wrote
+it.
+
+Thus, it is not the intent of this section to claim rights or contest
+your rights to work written entirely by you; rather, the intent is to
+exercise the right to control the distribution of derivative or
+collective works based on the Library.
+
+In addition, mere aggregation of another work not based on the Library
+with the Library (or with a work based on the Library) on a volume of
+a storage or distribution medium does not bring the other work under
+the scope of this License.
+
+  3. You may opt to apply the terms of the ordinary GNU General Public
+License instead of this License to a given copy of the Library.  To do
+this, you must alter all the notices that refer to this License, so
+that they refer to the ordinary GNU General Public License, version 2,
+instead of to this License.  (If a newer version than version 2 of the
+ordinary GNU General Public License has appeared, then you can specify
+that version instead if you wish.)  Do not make any other change in
+these notices.
+

+  Once this change is made in a given copy, it is irreversible for
+that copy, so the ordinary GNU General Public License applies to all
+subsequent copies and derivative works made from that copy.
+
+  This option is useful when you wish to copy part of the code of
+the Library into a program that is not a library.
+
+  4. You may copy and distribute the Library (or a portion or
+derivative of it, under Section 2) in object code or executable form
+under the terms of Sections 1 and 2 above provided that you accompany
+it with the complete corresponding machine-readable source code, which
+must be distributed under the terms of Sections 1 and 2 above on a
+medium customarily used for software interchange.
+
+  If distribution of object code is made by offering access to copy
+from a designated place, then offering equivalent access to copy the
+source code from the same place satisfies the requirement to
+distribute the source code, even though third parties are not
+compelled to copy the source along with the object code.
+
+  5. A program that contains no derivative of any portion of the
+Library, but is designed to work with the Library by being compiled or
+linked with it, is called a "work that uses the Library".  Such a
+work, in isolation, is not a derivative work of the Library, and
+therefore falls outside the scope of this License.
+
+  However, linking a "work that uses the Library" with the Library
+creates an executable that is a derivative of the Library (because it
+contains portions of the Library), rather than a "work that uses the
+library".  The executable is therefore covered by this License.
+Section 6 states terms for distribution of such executables.
+
+  When a "work that uses the Library" uses material from a header file
+that is part of the Library, the object code for the work may be a
+derivative work of the Library even though the source code is not.
+Whether this is true is especially significant if the work can be
+linked without the Library, or if the work is itself a library.  The
+threshold for this to be true is not precisely defined by law.
+
+  If such an object file uses only numerical parameters, data
+structure layouts and accessors, and small macros and small inline
+functions (ten lines or less in length), then the use of the object
+file is unrestricted, regardless of whether it is legally a derivative
+work.  (Executables containing this object code plus portions of the
+Library will still fall under Section 6.)
+
+  Otherwise, if the work is a derivative of the Library, you may
+distribute the object code for the work under the terms of Section 6.
+Any executables containing that work also fall under Section 6,
+whether or not they are linked directly with the Library itself.
+

+  6. As an exception to the Sections above, you may also combine or
+link a "work that uses the Library" with the Library to produce a
+work containing portions of the Library, and distribute that work
+under terms of your choice, provided that the terms permit
+modification of the work for the customer's own use and reverse
+engineering for debugging such modifications.
+
+  You must give prominent notice with each copy of the work that the
+Library is used in it and that the Library and its use are covered by
+this License.  You must supply a copy of this License.  If the work
+during execution displays copyright notices, you must include the
+copyright notice for the Library among them, as well as a reference
+directing the user to the copy of this License.  Also, you must do one
+of these things:
+
+    a) Accompany the work with the complete corresponding
+    machine-readable source code for the Library including whatever
+    changes were used in the work (which must be distributed under
+    Sections 1 and 2 above); and, if the work is an executable linked
+    with the Library, with the complete machine-readable "work that
+    uses the Library", as object code and/or source code, so that the
+    user can modify the Library and then relink to produce a modified
+    executable containing the modified Library.  (It is understood
+    that the user who changes the contents of definitions files in the
+    Library will not necessarily be able to recompile the application
+    to use the modified definitions.)
+
+    b) Use a suitable shared library mechanism for linking with the
+    Library.  A suitable mechanism is one that (1) uses at run time a
+    copy of the library already present on the user's computer system,
+    rather than copying library functions into the executable, and (2)
+    will operate properly with a modified version of the library, if
+    the user installs one, as long as the modified version is
+    interface-compatible with the version that the work was made with.
+
+    c) Accompany the work with a written offer, valid for at
+    least three years, to give the same user the materials
+    specified in Subsection 6a, above, for a charge no more
+    than the cost of performing this distribution.
+
+    d) If distribution of the work is made by offering access to copy
+    from a designated place, offer equivalent access to copy the above
+    specified materials from the same place.
+
+    e) Verify that the user has already received a copy of these
+    materials or that you have already sent this user a copy.
+
+  For an executable, the required form of the "work that uses the
+Library" must include any data and utility programs needed for
+reproducing the executable from it.  However, as a special exception,
+the materials to be distributed need not include anything that is
+normally distributed (in either source or binary form) with the major
+components (compiler, kernel, and so on) of the operating system on
+which the executable runs, unless that component itself accompanies
+the executable.
... 50979 lines suppressed ...

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/python-modules/packages/myhdl.git



More information about the Python-modules-commits mailing list