[Pkg-electronics-commits] [verilator] 02/03: Merge tag 'upstream/3.862'

أحمد المحمودي (Ahmed El-Mahmoudy) aelmahmoudy at sabily.org
Tue Jun 17 19:52:38 UTC 2014


This is an automated email from the git hooks/post-receive script.

aelmahmoudy-guest pushed a commit to branch master
in repository verilator.

commit 5196ce0bf8211f1384fd0bc7ddb9b416c36f3e0b
Merge: 97f02ed 8ab6ca0
Author: أحمد المحمودي (Ahmed El-Mahmoudy) <aelmahmoudy at sabily.org>
Date:   Tue Jun 17 19:59:10 2014 +0300

    Merge tag 'upstream/3.862'
    
    Upstream version 3.862

 Changes                                            |  33 +++
 README.pdf                                         | Bin 112606 -> 112696 bytes
 bin/verilator                                      |  21 +-
 configure                                          |  18 +-
 configure.ac                                       |   2 +-
 include/verilated.cpp                              |   6 +-
 include/verilated.h                                |  10 +-
 include/verilated_config.h                         |   2 +-
 include/verilated_save.cpp                         |   9 +-
 include/verilated_vcd_c.cpp                        |  14 +-
 include/verilated_vpi.h                            |  23 +-
 internals.pdf                                      | Bin 197892 -> 197981 bytes
 src/V3Ast.cpp                                      |   4 +-
 src/V3AstNodes.h                                   |  21 +-
 src/V3Changed.cpp                                  |  20 +-
 src/V3Const.cpp                                    |   9 +-
 src/V3EmitC.cpp                                    |  27 ++-
 src/V3EmitCSyms.cpp                                |   3 +-
 src/V3EmitMk.cpp                                   |  15 +-
 src/V3Error.cpp                                    |   1 +
 src/V3Error.h                                      |   5 +-
 src/V3Expand.cpp                                   |   4 +-
 src/V3File.cpp                                     |  17 +-
 src/V3File.h                                       |   5 +-
 src/V3Gate.cpp                                     |   9 +-
 src/V3Global.h                                     |   4 +
 src/V3LinkDot.cpp                                  |   6 +-
 src/V3LinkResolve.cpp                              |   4 +-
 src/V3Number.cpp                                   |  50 +++--
 src/V3Number.h                                     |  22 +-
 src/V3Options.cpp                                  |   7 +-
 src/V3Options.h                                    |   2 +
 src/V3Order.cpp                                    |   4 +-
 src/V3ParseImp.cpp                                 |   8 +
 src/V3PreLex.l                                     |   2 +-
 src/V3PreProc.cpp                                  |  24 +-
 src/V3PreProc.h                                    |   4 +-
 src/V3TraceDecl.cpp                                |   2 +-
 src/V3Tristate.cpp                                 |  24 +-
 src/V3Undriven.cpp                                 |   7 +-
 src/V3Width.cpp                                    | 250 ++++++++++++++-------
 src/Verilator.cpp                                  |   9 +-
 src/config_build.h                                 |   2 +-
 src/config_rev.h                                   |   2 +-
 src/verilog.y                                      |  81 ++++---
 test_regress/t/t_array_pattern_packed.v            |   5 +
 .../t/{t_var_rsvd_bad.pl => t_detectarray_3.pl}    |  14 +-
 test_regress/t/t_detectarray_3.v                   |  39 ++++
 test_regress/t/t_dist_portability.pl               |  19 ++
 .../t/{t_var_rsvd_bad.pl => t_flag_woff.pl}        |  15 +-
 test_regress/t/t_flag_woff.v                       |  20 ++
 .../t/{t_var_rsvd_bad.pl => t_gate_array.pl}       |  15 +-
 test_regress/t/t_gate_array.v                      |  88 ++++++++
 .../t/{t_var_rsvd_bad.pl => t_gen_for_overlap.pl}  |  13 +-
 test_regress/t/t_gen_for_overlap.v                 |  49 ++++
 test_regress/t/t_hierarchy_identifier.v            |   4 +-
 .../t/{t_var_rsvd_bad.pl => t_interface_param1.pl} |  13 +-
 test_regress/t/t_interface_param1.v                |  51 +++++
 .../t/{t_var_rsvd_bad.pl => t_math_div0.pl}        |  13 +-
 test_regress/t/t_math_div0.v                       |  11 +
 .../t/{t_var_rsvd_bad.pl => t_math_shift_rep.pl}   |  14 +-
 test_regress/t/t_math_shift_rep.v                  |  77 +++++++
 test_regress/t/t_math_signed5.v                    |  53 ++++-
 test_regress/t/t_package_twodeep.v                 |   6 +-
 test_regress/t/t_preproc_noline.out                |   5 +
 test_regress/t/t_preproc_noline.pl                 |  24 ++
 test_regress/t/t_preproc_noline.v                  |  20 ++
 test_regress/t/t_struct_init.v                     |   3 +
 test_regress/t/t_sv_cpu.pl                         |   4 +-
 test_regress/t/t_sv_cpu.v                          |   8 +-
 test_regress/t/t_sv_cpu_code/chip.sv               |   4 +
 test_regress/t/t_sv_cpu_code/pad_gpio.sv           |   2 +
 test_regress/t/t_sv_cpu_code/pads.sv               |  20 +-
 .../t/{t_var_rsvd_bad.pl => t_tri_array.pl}        |  14 +-
 test_regress/t/t_tri_array.v                       |  69 ++++++
 test_regress/t/t_var_rsvd_bad.pl                   |   5 +-
 verilator.1                                        |  24 +-
 verilator.html                                     |  21 +-
 verilator.pdf                                      | Bin 387887 -> 388262 bytes
 verilator.txt                                      |  21 +-
 80 files changed, 1191 insertions(+), 364 deletions(-)

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/pkg-electronics/verilator.git



More information about the Pkg-electronics-commits mailing list