[Pkg-electronics-commits] [verilator] 02/02: Merge tag 'upstream/3.856'

أحمد المحمودي (Ahmed El-Mahmoudy) aelmahmoudy at sabily.org
Sun Mar 16 19:53:25 UTC 2014


This is an automated email from the git hooks/post-receive script.

aelmahmoudy-guest pushed a commit to branch master
in repository verilator.

commit 3c043182c4ffdaebfcc437695938eeaa064a34df
Merge: 184db19 d3d5d1d
Author: أحمد المحمودي (Ahmed El-Mahmoudy) <aelmahmoudy at sabily.org>
Date:   Sun Mar 16 21:29:40 2014 +0200

    Merge tag 'upstream/3.856'
    
    Upstream version 3.856

 Changes                                          |  23 ++++++++
 README.pdf                                       | Bin 111871 -> 112648 bytes
 bin/verilator                                    |   4 +-
 configure                                        |  18 +++---
 configure.ac                                     |   2 +-
 include/verilated.h                              |  27 ++++++---
 include/verilated_config.h                       |   2 +-
 internals.pdf                                    | Bin 195228 -> 195641 bytes
 src/V3Ast.h                                      |  17 +++---
 src/V3AstNodes.cpp                               |  29 ++++++++++
 src/V3AstNodes.h                                 |  16 ++++--
 src/V3Case.cpp                                   |  41 ++++++++------
 src/V3Const.cpp                                  |  36 +++++++-----
 src/V3Dead.cpp                                   |   4 +-
 src/V3File.cpp                                   |   7 ++-
 src/V3Inline.cpp                                 |   2 +-
 src/V3LinkParse.cpp                              |  16 +++++-
 src/V3ParseImp.h                                 |   5 ++
 src/V3ParseLex.cpp                               |  17 +++++-
 src/V3Premit.cpp                                 |   6 +-
 src/V3TraceDecl.cpp                              |   3 +-
 src/V3Tristate.cpp                               |  14 +++++
 src/V3Unknown.cpp                                |  18 +++---
 src/V3Unroll.cpp                                 |  21 +++----
 src/V3Width.cpp                                  |   3 +-
 src/V3WidthSel.cpp                               |  64 ++++++++++++----------
 src/config_build.h                               |   2 +-
 src/config_rev.h                                 |   2 +-
 src/verilog.l                                    |  49 +++++++++--------
 src/verilog.y                                    |  29 ++++++++--
 test_regress/driver.pl                           |   5 ++
 test_regress/t/t_EXAMPLE.v                       |   2 +-
 test_regress/t/t_bitsel_slice.pl                 |  18 ++++++
 test_regress/t/{t_EXAMPLE.v => t_bitsel_slice.v} |  40 +++++---------
 test_regress/t/t_case_inside.pl                  |  18 ++++++
 test_regress/t/t_case_inside.v                   |  66 ++++++++++++++++++++++
 test_regress/t/t_inside_wild.pl                  |  18 ++++++
 test_regress/t/{t_EXAMPLE.v => t_inside_wild.v}  |  43 +++++----------
 test_regress/t/t_inst_aport.pl                   |  20 +++++++
 test_regress/t/{t_EXAMPLE.v => t_inst_aport.v}   |  67 ++++++++++++++---------
 test_regress/t/t_lint_input_eq_bad.pl            |  24 ++++++++
 test_regress/t/t_lint_input_eq_bad.v             |  12 ++++
 test_regress/t/t_parse_delay.pl                  |  18 ++++++
 test_regress/t/t_parse_delay.v                   |  20 +++++++
 test_regress/t/t_trace_complex.out               |  10 +++-
 test_regress/t/t_trace_complex.v                 |   7 +++
 test_regress/t/t_trace_complex_structs.out       |  10 +++-
 verilator.1                                      |   6 +-
 verilator.html                                   |  17 +++++-
 verilator.pdf                                    | Bin 388823 -> 389234 bytes
 verilator.txt                                    |  16 ++++--
 51 files changed, 668 insertions(+), 246 deletions(-)

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/pkg-electronics/verilator.git



More information about the Pkg-electronics-commits mailing list